tag:blogger.com,1999:blog-11180552236019028922024-03-18T17:07:08.863+00:00The Answer is 42!!Electronics, Robotics and Computing Tutorials for Everyone!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.comBlogger124125tag:blogger.com,1999:blog-1118055223601902892.post-91469746330666923532024-01-02T21:34:00.004+00:002024-01-04T07:55:46.791+00:00Plotting Data and performing integration using a spreadsheet program<p>I haven't written a post in ages...my apologies but I rarely have time these days or anything of interest to post about...my work has taken over. I hope to get back to writing and doing more things for myself but I have no idea when. I suspect I will have to make myself get back into blogging. It is useful as an aide memoire to myself if nothing else. </p><p>A colleague asked me to plot some sensor data for him in a spreadsheet program and perform some analysis on it and to be completely honest I had forgotten how.</p><p>So I did some quick research and here is an example of what I did so that I can refer to it next time...It may be useful to someone else so I'm writing it up as a post.</p><p>Sensor data is collected in various ways but is normally saved to a text file in comma separated values. </p><p>Here is an example of some comma separated value data:</p><p>sample,measurement1,measurement2<br />0,0,0<br />1,1,1.12<br />2,2,2.05<br />3,3,3.01<br />4,4,3.95<br />5,5,5.05<br />6,6,6.02<br />7,7,7.08<br />8,8,7.99<br />9,9,9.04<br />10,10,10.02</p><p>I made the data up as I cannot share the actual data that was discussed.</p><p>The first column is the sample No.<br /></p><p>The second column is the current measurement in mA</p><p>The third column is the voltage measurement in volts</p><p>In case anyone is wondering these are supposed to be measurements from a simple circuit to find an unknown resistance.</p><p>A constant current power supply has been used to supply energy to an unknown resistor; The voltage and current in the circuit were measured and recorded and the results are in the csv text file as shown above.</p><p>Here is the circuit:</p><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgRTqSJA7kZZ1Bj7ZkCviHZDI2E13-XBIMOXsx_dpQCDv20QoVsyijaGdLQUayEyEu0ScTHYILyevTp9jeRiz9dvVwpUXWeg4scvAITaZWYjGhkV7989wL6ptDidHxOwodtytWl5gkVc8M0RxB9DlZPxFJ5BdHSnKMDZgpot_H0ueq-E2pOLkAVfFdLu_U/s693/Measurement%20circuit.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="427" data-original-width="693" height="394" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgRTqSJA7kZZ1Bj7ZkCviHZDI2E13-XBIMOXsx_dpQCDv20QoVsyijaGdLQUayEyEu0ScTHYILyevTp9jeRiz9dvVwpUXWeg4scvAITaZWYjGhkV7989wL6ptDidHxOwodtytWl5gkVc8M0RxB9DlZPxFJ5BdHSnKMDZgpot_H0ueq-E2pOLkAVfFdLu_U/w640-h394/Measurement%20circuit.png" width="640" /></a></div><p>Here is the tabulated measurement data:</p><p></p><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh3vG0uNN85lzjz4XRbkOUhZdWVAcrFJZK3Pc7Kpek8BFmiCSnbd72n2dHkMll2zoUKqC1vtvJjiTFWCbLDB4g7OGOIupA1qaLfY2gMd4nKUXkh0JQDKbpaeIpBmmuHcp4gk9hyphenhyphenbCOOcLs3q-O6jhnzI_TorcjPJ0Bg3_dhkvHJ4pxAHYbHIF2wvFrgQ_c/s549/Sample%20Data%20Table.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="248" data-original-width="549" height="290" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh3vG0uNN85lzjz4XRbkOUhZdWVAcrFJZK3Pc7Kpek8BFmiCSnbd72n2dHkMll2zoUKqC1vtvJjiTFWCbLDB4g7OGOIupA1qaLfY2gMd4nKUXkh0JQDKbpaeIpBmmuHcp4gk9hyphenhyphenbCOOcLs3q-O6jhnzI_TorcjPJ0Bg3_dhkvHJ4pxAHYbHIF2wvFrgQ_c/w640-h290/Sample%20Data%20Table.png" width="640" /></a></div>Here is the data plotted as a line graph:<div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgI15o0WAYOw73IMZ6zKJ0BsfhQ8c4-wVmciyHlkdFdEEydwqKXdzT1Qk1YMY2zL44vuVyjyWj_5Uz3A88Z98Ky9FSPG1yXj-AM-xIy0Ru6Mk3NdKI7_fKmBamsRfGFjX7c8KYLqk8W3cuwfXAQ_nsbPrWYNvvTIPLSG08447KpTvlM-mpa356MVs-fbNM/s605/Sample%20Graph%20Picture.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="340" data-original-width="605" height="360" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgI15o0WAYOw73IMZ6zKJ0BsfhQ8c4-wVmciyHlkdFdEEydwqKXdzT1Qk1YMY2zL44vuVyjyWj_5Uz3A88Z98Ky9FSPG1yXj-AM-xIy0Ru6Mk3NdKI7_fKmBamsRfGFjX7c8KYLqk8W3cuwfXAQ_nsbPrWYNvvTIPLSG08447KpTvlM-mpa356MVs-fbNM/w640-h360/Sample%20Graph%20Picture.png" width="640" /></a></div><div class="separator" style="clear: both; text-align: center;"><br /></div>We can calculate the resistance and plot that as well...</div><div><br /></div><div>So the Resistance (from Ohms Law) is equal to (measured volts / supplied current) * 1000</div><div><br /></div><div>I have added a column to the table:</div><div><div class="separator" style="clear: both; text-align: center;"><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhHx7nLX3Qzg_PKVW4DcP25TRrCid1Feyg07gkZwuESkW1GDS_ui0Vq02Yk3S93Yvz2W6UVsZnObVk_Y-wSQQoAKsqNLKhupd9-NOC2vScqAD6QgZXyguA-d350YBUc6Yvbcvx8YaXFqmSULzbatFcOYjlN77wT44L0Fkjk0SK_wxEB3Xjh194SBaOUF3U/s793/Updated%20sample%20table%20data.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="274" data-original-width="793" height="222" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhHx7nLX3Qzg_PKVW4DcP25TRrCid1Feyg07gkZwuESkW1GDS_ui0Vq02Yk3S93Yvz2W6UVsZnObVk_Y-wSQQoAKsqNLKhupd9-NOC2vScqAD6QgZXyguA-d350YBUc6Yvbcvx8YaXFqmSULzbatFcOYjlN77wT44L0Fkjk0SK_wxEB3Xjh194SBaOUF3U/w640-h222/Updated%20sample%20table%20data.png" width="640" /></a></div></div>We can now plot this data as well if we wanted:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjwJsWZRSat1ee8iZFsKuQfQrGn0h6hkdFyr-eNsOYSHImLu36-oL3j_A_6IkiXTB_dr1LVN1yWFKrH_p1pPfpvNwFIPXu7MmNI70Ixfkza2Hj1tQxrxl1xArHR6Sx8rap3aF5bcpDiUmc49oBYX9G6CSIibHfBr1lwHDwnzOKIhtQ8NcDz5xiMUNjHLys/s605/Resistance%20Graph.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="340" data-original-width="605" height="360" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjwJsWZRSat1ee8iZFsKuQfQrGn0h6hkdFyr-eNsOYSHImLu36-oL3j_A_6IkiXTB_dr1LVN1yWFKrH_p1pPfpvNwFIPXu7MmNI70Ixfkza2Hj1tQxrxl1xArHR6Sx8rap3aF5bcpDiUmc49oBYX9G6CSIibHfBr1lwHDwnzOKIhtQ8NcDz5xiMUNjHLys/w640-h360/Resistance%20Graph.png" width="640" /></a></div><br /><div><br /></div><div>If we like we can get the spreadsheet program to compute the mean average resistance:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgasizcgo109ScoO3iNoCB_AJCPFcOoR3Riw-7KstOsGE3s-jSTqn_LCJmer5EVY3Im8v1_fwOSFyEfJUi2A2M-pR0aXVE64-E4MRfimwbe_KhqrQFmqd2GaTkJ_2E6kYBbWgTv5gZVpcwuGkqR65xCHhzzbvtTTQBpurO3KuNcPUJzpk_9ogvJtnzrDwI/s605/Mean%20Average%20Graph.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="340" data-original-width="605" height="360" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgasizcgo109ScoO3iNoCB_AJCPFcOoR3Riw-7KstOsGE3s-jSTqn_LCJmer5EVY3Im8v1_fwOSFyEfJUi2A2M-pR0aXVE64-E4MRfimwbe_KhqrQFmqd2GaTkJ_2E6kYBbWgTv5gZVpcwuGkqR65xCHhzzbvtTTQBpurO3KuNcPUJzpk_9ogvJtnzrDwI/w640-h360/Mean%20Average%20Graph.png" width="640" /></a></div>For completeness we can calculate the mean average by performing an average calculation:<div><br /></div><div>The value is <b>1016.58 Ohms</b> - I wish LibreOffice Calc gave me a way of easily displaying the value on the graph...I used the AVERAGE function to calculate it.</div><div><br /></div><div>Returning to the first graph we can also use the spreadsheet program (Libre Office Calc) although Excel also has this functionality; to calculate the power consumed.</div><div><br /></div><div>This is achieved by adding a polynomial trendline to the graph in question and then from the trendline performing integration to calculate the area under the curve which is equal to the power consumed in Watts. Helpfully the trendline function displays the integral for us! It has been a long time since I had to use integration and possibly the only time I have actually ever had a practical reason to apply it. My university education wasn't a waste!</div><div> <a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhgUy72ReNOTAW7zJXJPz-_AtLcTEiHtL7sZQQVE9-AYNX9Zzwh_WLx3cTtGn7SV8bITIEUQcCbR4N4V2XZpEyGTDEpb9maRWfaxuTis7PK0HwxGtHPSWk2vKWlyU1RLghXznW_-tf8tWbIGiAzYBmPRSITkCpMCIrK_TE1VD5GDdlcf3jeQ92-LXmCONo/s687/Powe%20graph%20with%20integral.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em; text-align: center;"><img border="0" data-original-height="484" data-original-width="687" height="450" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhgUy72ReNOTAW7zJXJPz-_AtLcTEiHtL7sZQQVE9-AYNX9Zzwh_WLx3cTtGn7SV8bITIEUQcCbR4N4V2XZpEyGTDEpb9maRWfaxuTis7PK0HwxGtHPSWk2vKWlyU1RLghXznW_-tf8tWbIGiAzYBmPRSITkCpMCIrK_TE1VD5GDdlcf3jeQ92-LXmCONo/w640-h450/Powe%20graph%20with%20integral.png" width="640" /></a></div><div>The function has been found to be: </div><div><div class="separator" style="clear: both; text-align: center;"><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifdXnbmwOJz4gwX2aHqjrcGj7uDlyipbX9fLkvMviwheryU0ALgwW98qnN6DEHJOVIUcqKeMo7sTB1d_NTfG__MvBKaLaQT8qLRYc0d0aYZG4AVFI7zq3J10a6TAO9rCCGMeHifOwbcv707fJZIJH3-iHHgYQkLZ-2yXJ3aG4eA2vuP0zF8XqX4j4vJYQ/s227/Integral%20formula.gif" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="21" data-original-width="227" height="21" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifdXnbmwOJz4gwX2aHqjrcGj7uDlyipbX9fLkvMviwheryU0ALgwW98qnN6DEHJOVIUcqKeMo7sTB1d_NTfG__MvBKaLaQT8qLRYc0d0aYZG4AVFI7zq3J10a6TAO9rCCGMeHifOwbcv707fJZIJH3-iHHgYQkLZ-2yXJ3aG4eA2vuP0zF8XqX4j4vJYQ/s1600/Integral%20formula.gif" width="227" /></a></div><div class="separator" style="clear: both; text-align: center;"><br /></div>If we integrate this we have:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgsh5bzqlrsV9Y_w6M7HY954WdyZS-ketZLjOLKc46y1MaZzbJmvLsK4Ytf8FpERii2TRgdShZrya3mNA5hXYE_DFODDz0qylrmWyewV2-T9lPw6fjVQZ43DDLuLH_0JSANUpbGFp7OTNA_7zw1fIasPqeMDv-FWk_vRnRZfbPssBiDSNiSO7ZxKA0HACg/s315/Integrated%20formula%20no%20limits.gif" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="43" data-original-width="315" height="43" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgsh5bzqlrsV9Y_w6M7HY954WdyZS-ketZLjOLKc46y1MaZzbJmvLsK4Ytf8FpERii2TRgdShZrya3mNA5hXYE_DFODDz0qylrmWyewV2-T9lPw6fjVQZ43DDLuLH_0JSANUpbGFp7OTNA_7zw1fIasPqeMDv-FWk_vRnRZfbPssBiDSNiSO7ZxKA0HACg/s1600/Integrated%20formula%20no%20limits.gif" width="315" /></a></div><br /><div class="separator" style="clear: both; text-align: center;"><br /></div><div class="separator" style="clear: both; text-align: left;">If we apply limits we have:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhOSe8_ke19yVfpZndITqNkLCgtwZVVhG-7FZb0BQRiauCnlR_OZrlfx8tJr5K6__RLSwBxp8__ps1pr0DaNWfXw1J3-WydnduyJ8DJAhC-InsrywW0zrMkRsBuBHUdX_7-43n9F3Exq7eWdo3YBi2zWILDIyz_5XQH4snVWws7eJyNfcXPtsag8IAbQH0/s329/integral%20with%20limits.gif" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="46" data-original-width="329" height="45" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhOSe8_ke19yVfpZndITqNkLCgtwZVVhG-7FZb0BQRiauCnlR_OZrlfx8tJr5K6__RLSwBxp8__ps1pr0DaNWfXw1J3-WydnduyJ8DJAhC-InsrywW0zrMkRsBuBHUdX_7-43n9F3Exq7eWdo3YBi2zWILDIyz_5XQH4snVWws7eJyNfcXPtsag8IAbQH0/s320/integral%20with%20limits.gif" width="320" /></a></div><br /><div class="separator" style="clear: both; text-align: center;"><br /></div><br /> If we then calculate out the integral applying the limits we get:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjeJ0BsV9WLvLR81LsIvyCvZm4zmTOa1LTMC0GsrFBaNQ_p8aLRfoRMeZI_6-6TnvaAnqaMODm9A-r4uM-zqIfORd33tjQzU2IhJ7u8fnddKnI9ElOLbXvvP9BqoiHmJnRb0ZH1CoqDozdlTJ2iWWHiJ_dm_qkvgciY4fhDllikZgWCOd9jm0l4rby8hOE/s565/solved%20integral.gif" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="41" data-original-width="565" height="46" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjeJ0BsV9WLvLR81LsIvyCvZm4zmTOa1LTMC0GsrFBaNQ_p8aLRfoRMeZI_6-6TnvaAnqaMODm9A-r4uM-zqIfORd33tjQzU2IhJ7u8fnddKnI9ElOLbXvvP9BqoiHmJnRb0ZH1CoqDozdlTJ2iWWHiJ_dm_qkvgciY4fhDllikZgWCOd9jm0l4rby8hOE/w640-h46/solved%20integral.gif" width="640" /></a></div><br /><div class="separator" style="clear: both; text-align: left;"><br /></div>Which gives a value of 40.46...<div><br /></div><div>We need to account for the fact that the current was in milli-amps:</div><div><br /></div><div>Power (Watts) = Amps * Volts</div><div><br /></div><div>so we need to divide our solution by 1000 giving:</div><div><br /></div><div>Power (Watts) = <b>0.04</b></div><div><br /></div><div>A simple check using the tabulated data finds this to be correct:</div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiEsXQfvUctJUvVGJ1CIgnClgVBXHJJup-evdZWAayD29SpPCoOP1aHpqVLaHYiIVMLFqX8OcuoqFjQO-_4lVU7tztRzKWuWngaQGgv6ChQ9QoqRBdCmn7H0X36lRYzf36yy7QRuYpnMidO4Q5QkPxFAqTFfej2HFfIfQa8lu7wtyqOlTPFVrV4NaleoEg/s1048/Complete%20Table.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="276" data-original-width="1048" height="169" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiEsXQfvUctJUvVGJ1CIgnClgVBXHJJup-evdZWAayD29SpPCoOP1aHpqVLaHYiIVMLFqX8OcuoqFjQO-_4lVU7tztRzKWuWngaQGgv6ChQ9QoqRBdCmn7H0X36lRYzf36yy7QRuYpnMidO4Q5QkPxFAqTFfej2HFfIfQa8lu7wtyqOlTPFVrV4NaleoEg/w640-h169/Complete%20Table.png" width="640" /></a></div><div>Now I'm aware in this example we could have used the (base * height) / 2 method to achieve the same result...however when we have a graph that isn't linear (straight line) as the example then the integration method is the best solution.</div><div><br /></div><div>There is also the trapezoidal method which could be performed on the data itself but that is for another post.</div><div><br /></div><div>I may yet write a python script which reads in the CSV data, performs the above plots and then performs the integration for me...It may be a useful exercise but that is also for another post!</div><div><br /></div><div>That's all for now...take care - Langster! <br /><div><br /><br /></div><div><br /></div><div><br /></div><div><br /></div><div><div><br /></div><div><br /><div><br /></div><div><br /><br /><br /><div class="separator" style="clear: both; text-align: center;"><br /></div><br /><br /><p></p><p><br /></p><p><br /></p><p><br /></p><p><br /></p><p><br /></p><p><br /></p></div></div></div></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-56278343596114009542022-07-04T21:31:00.000+01:002022-07-04T21:31:46.062+01:00NeoPixel Circle PCB<p>The next step in the DMX patio lamp is to create a PCB with the neopixels on it.</p><p>The previous posts for reference are:</p><p><a href="https://langster1980.blogspot.com/2022/07/designing-dmx-controlled-patio-light.html" target="_blank">https://langster1980.blogspot.com/2022/07/designing-dmx-controlled-patio-light.html</a><br /></p><p><a href="https://langster1980.blogspot.com/2022/07/dmx-to-neopixel-arduino-shield.html" target="_blank">https://langster1980.blogspot.com/2022/07/dmx-to-neopixel-arduino-shield.html</a><br /></p><p>I am going for 32 LEDS but I may change my mind. It depends really on the size and spacing achievable as well as current draw and voltage drop. Lets see what works first.</p><p>I'm confident I could design this PCB straight away but it is always a good idea to read through the datasheet and do some calculations...there may be something critical I have missed or didn't know. I've used neopixel tape several times but I've never really bothered to read up on their technical aspects.</p><p>Here is the datasheet: <a href="https://cdn-shop.adafruit.com/datasheets/WS2812B.pdf" target="_blank">https://cdn-shop.adafruit.com/datasheets/WS2812B.pdf</a> </p><p>The datasheet isn't the worst I've looked at but it isn't the best either...</p><p>After some reading...and some more reading here is what I have found:</p><p>The package contains 3x LEDS and a control circuit. According to the research the control circuit draws<br />8 mA with all the LEDS not active (Off). <br /><br />The Red LED draws 13 mA when fully on. <br /><br />The blue LED and green LEDS also draws 13 mA when fully on.</p><p>Therefore each pixel (3x LEDS in one package) draws 60 mA. </p><p>If we have 32 pixels our current draw with each LED fully on (White colour) will be:</p><p><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?I_%7Btotal%7D%20%3D%2032%20*%2052%5C%2C%5C%2CmA" style="font-family: "Helvetica Neue", Helvetica, Arial, sans-serif; font-size: 12px; margin: 10px; text-align: center;" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></p><h3><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?I_%7Btotal%7D%20%3D%201.664%5C%20Amps" style="font-family: "Helvetica Neue", Helvetica, Arial, sans-serif; font-size: 12px; font-weight: 400; margin: 10px; text-align: center;" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></h3><div>So if the calculations are correct...then we need to account for this 1.6 Amp current draw on our PCB layout. Our connector and wiring also need to handle 1.6 Amps - I'm going to design for 2 Amps to provide a little margin.</div><div><br /></div><div>Here is the circuit diagram:</div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8yoKUj-UXm0XstvGqDJks7llNaawOcQMwzdcTi-Xx2MoCBfN91wWJ8gpza83iFe-7nUF2FajFOgLogFfD8dmBZ3D9W081uhV0PRlmpoi5QGiVp7cucc3LQDuMExyPX96kxwi-VrNRwZOSmc3oJvOfReT6Ctb7H3PJlg3tZzBY8MdotdsL1-PiMyQ4/s3507/NeoPixel%20Circle%20Schematic.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="2480" data-original-width="3507" height="452" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8yoKUj-UXm0XstvGqDJks7llNaawOcQMwzdcTi-Xx2MoCBfN91wWJ8gpza83iFe-7nUF2FajFOgLogFfD8dmBZ3D9W081uhV0PRlmpoi5QGiVp7cucc3LQDuMExyPX96kxwi-VrNRwZOSmc3oJvOfReT6Ctb7H3PJlg3tZzBY8MdotdsL1-PiMyQ4/w640-h452/NeoPixel%20Circle%20Schematic.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">NeoPixel Lamp Schematic</td></tr></tbody></table><br /><div>Next we need to design a PCB layout. I'm going for a circular PCB which will fit behind the 84 mm polycarbonate cover. Lets set the diameter of the PCB to 80 mm - that way I know it will fit with room to spare. I will need a mounting option too...not worked that out yet! I suspect some stand offs and attached to the cover will work fine.</div><div><br /></div><div>Here is the PCB layout:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg1Waw06RoDLO-OOo1ijkNHzOy3_wAsxPhm64dEaPu031pa7hgl44TF8Bh1BJjdMiztAWwKy__81hLsguH7tJFUCvR9Eeh8FYpUgNVx7S0MG05TvsBsT0iVoCpE5SSaVdTnMiozWUsQnBmBpLp2vUHr3B5Ngdmvx6_XAwnt8Q_RuScKZ3Yf359IC3D8/s1278/NeoPixel%20Circle%20Lamp%203D%20render%20top%20layer.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="879" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg1Waw06RoDLO-OOo1ijkNHzOy3_wAsxPhm64dEaPu031pa7hgl44TF8Bh1BJjdMiztAWwKy__81hLsguH7tJFUCvR9Eeh8FYpUgNVx7S0MG05TvsBsT0iVoCpE5SSaVdTnMiozWUsQnBmBpLp2vUHr3B5Ngdmvx6_XAwnt8Q_RuScKZ3Yf359IC3D8/w640-h440/NeoPixel%20Circle%20Lamp%203D%20render%20top%20layer.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">NeoPixel Lamp Top Layer - PCB Render</td></tr></tbody></table><br /><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjyE46X3biOGM8OAWzbtTWgl0ataJtINfnh6_GWjhx6rm_i3b5PRlibpbFunsBnqwI0qY1Z3vY_3WRkXxhKlR9eE60zOPbh2GtAIzWKUmaScoljk25UajrRrXR4q_l1s9w3gt9jNmMH7ngn0eL2htrWXsrm2az462aDFWYr82-AEjieXd6_HETVx4X5/s1278/NeoPixel%20Circle%20Lamp%203D%20render%20Bottom%20layer.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="879" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjyE46X3biOGM8OAWzbtTWgl0ataJtINfnh6_GWjhx6rm_i3b5PRlibpbFunsBnqwI0qY1Z3vY_3WRkXxhKlR9eE60zOPbh2GtAIzWKUmaScoljk25UajrRrXR4q_l1s9w3gt9jNmMH7ngn0eL2htrWXsrm2az462aDFWYr82-AEjieXd6_HETVx4X5/w640-h440/NeoPixel%20Circle%20Lamp%203D%20render%20Bottom%20layer.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">NeoPixel Lamp Bottom Layer - PCB Render</td></tr></tbody></table><br /><div>I added an extra pixel in the centre of the PCB as there was room. I also added some mounting holes which weren't on the schematic. The layout went quite well and only took me a couple of hours...must be getting better at this although it isn't because of practice! I did have a nights sleep between the hours...maybe that helped...</div><div><br /></div><div>I have exported the gerber files...next job is to get a quote from JLPCB and then assuming the price is right get some boards made. I'm going to go with black silkscreen. </div><div><br /></div><div>I think that's all for now - take care, Langster!</div><div><br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-34448683456903404982022-07-01T17:13:00.005+01:002022-07-01T17:13:25.654+01:00DMX to Neopixel Arduino Shield In a previous post (nearly a year ago!) I mentioned I was going to design an Arduino shield to allow DMX control to Neopixels. I actually did design a board but never wrote a blog post about it. Here is where I rectify that.<div><br /></div><div><a href="https://langster1980.blogspot.com/2021/08/dmx-to-control-neopixels-and-isolated.html" target="_blank">The previous post - in case one is interested!</a><br /></div><div><br /></div><div>I decided to design my own DMX shield for the Arduino R3 as although there are commercial off the shelf versions available they don't have electrical isolation between the RS485 transceiver and the IO (Input Output) ports. This can be quite critical when connecting up DMX lamps as some of them are not well designed and lets just say ground loops and cheap DMX lamps becoming live when they shouldn't and releasing of magic smoke and electric shocks being a very real and present danger...don't ask me how I know... </div><div><br /></div><div>The circuit itself is pretty much the same as those already available. It has opto-coupling present on the IO, the power supply and has DIP switches on board to set the DMX start address.</div><div><br /></div><div>Here is the circuit diagram:</div><div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEirbLFAzcB6DYZUQ9xi49XtZEpdrShdK_zwN-4qgB_J7Ahg9IBMW5AYCIO4FX1VM31B5qFphmzl_Dfd17aFVoDT-g-n6B60DLwsfbbbmvZlFoLjye36U_pdUcla6XB9BPo2bGOLWcIgSbsOG5bf2mKHr2D5aB-eukc0clKRCgp80zcCU7VMb_5rXM0w/s3507/DMX%20to%20SPI%20Converter%20Schematic.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="2480" data-original-width="3507" height="452" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEirbLFAzcB6DYZUQ9xi49XtZEpdrShdK_zwN-4qgB_J7Ahg9IBMW5AYCIO4FX1VM31B5qFphmzl_Dfd17aFVoDT-g-n6B60DLwsfbbbmvZlFoLjye36U_pdUcla6XB9BPo2bGOLWcIgSbsOG5bf2mKHr2D5aB-eukc0clKRCgp80zcCU7VMb_5rXM0w/w640-h452/DMX%20to%20SPI%20Converter%20Schematic.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">DMX to SPI Converter Shield</td></tr></tbody></table><br />I suppose I had better explain the circuit - This is as much for me as for the casual reader...I'll be honest I haven't looked at this for a year and some decisions taken were odd to me at first... </div><div><br /></div><div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEhuno2bIbJs47Kca3bHj0GoU0_UnL7zGhKU25SS87DOSczGSyxm4GsiWE_T_JHZkusvruJ-7iN3iq8UdVGKP-j2QzRJfYOD5cqq_7QvsMWqMXjRZd0sd7mnko0p4O3bZ9csH6OiOVgDiOg2so8WrW_yRXj0aj3pFJBb_Q9CbThCyq7JxZ9ysciBxnTp" style="margin-left: auto; margin-right: auto;"><img alt="" data-original-height="495" data-original-width="1585" height="125" src="https://blogger.googleusercontent.com/img/a/AVvXsEhuno2bIbJs47Kca3bHj0GoU0_UnL7zGhKU25SS87DOSczGSyxm4GsiWE_T_JHZkusvruJ-7iN3iq8UdVGKP-j2QzRJfYOD5cqq_7QvsMWqMXjRZd0sd7mnko0p4O3bZ9csH6OiOVgDiOg2so8WrW_yRXj0aj3pFJBb_Q9CbThCyq7JxZ9ysciBxnTp=w400-h125" width="400" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">12 V dc input to 5 Vdc out circuit (Switch-mode)</td></tr></tbody></table><br /><br /></div><div>The circuit section shows the 12 V dc input coming from the connector J1 going to C1 (100 nF) - this is a filter capacitor. Then a DC to DC converter module is present. Three 100 nF capacitors are then present to filter the output of the DC to DC converter. These switching converters are known to often cause electromagnetic interference. The capacitors are present to try to mitigate those issues.</div><div><br /></div><div>The switching converter (PS1) used is a TRACO TEA_1-505 and it's datasheet is here: <br /><br /><a href="https://uk.farnell.com/traco-power/tea-1-0505/dc-dc-converter-5v-0-2a/dp/3465028" target="_blank">https://uk.farnell.com/traco-power/tea-1-0505/dc-dc-converter-5v-0-2a/dp/3465028</a><br /></div><div><br /></div><div>The next section is the opto-coupled RS485 (DMX)section:</div><div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEjHlfc9En4CTUf-vbSGzHH4rNN4UVsolzcep9wP_qITlmDiQf_ydLabLbhC929aWRo1l0ugWVNNJykkYMRD1vUcT1lFwV4RGHD6eiPbrrO2zXUGFh0OP6uaqDIktd8uKW8gbUOBNbU7ZEJAohxLk19_EYsBuRe9LIeEGFLsTdx5qT1_u_wWx25Kxg__" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="1409" data-original-width="1791" height="504" src="https://blogger.googleusercontent.com/img/a/AVvXsEjHlfc9En4CTUf-vbSGzHH4rNN4UVsolzcep9wP_qITlmDiQf_ydLabLbhC929aWRo1l0ugWVNNJykkYMRD1vUcT1lFwV4RGHD6eiPbrrO2zXUGFh0OP6uaqDIktd8uKW8gbUOBNbU7ZEJAohxLk19_EYsBuRe9LIeEGFLsTdx5qT1_u_wWx25Kxg__=w640-h504" width="640" /></a></div><br />The serial and control signals from the Arduino R3 are connected to Jumpers (JP1 to JP4). This allows the user to isolate the connections from the serial pins of the Arduino R3 to allow for code upload and control of whether the DMX device will be active (in control of the DMX network and sending data packets) or passive (receiving Data packets).</div><div><br /></div><div>I'm going to discuss each opto-coupler in turn to simplify things:</div><div><br /></div><div>Resistor R1 (4.7 kΩ) is connected to output of Opto-coupler U1 and is present to current limit the signal presented to the serial input RX of the Arduino R3 (or clone). Resistor R6 (470 Ω) is present to current limit the signal presented to the input of U1 coming from the RO output of the RS485 transceiver (U4 - MAX 481E). </div><div><br /></div><div><a href="https://www.farnell.com/datasheets/1790997.pdf" target="_blank">Optocoupler Datasheet</a><br /></div><div><br /></div><div>Resistor R2 (470Ω) is connected to the input of Opto-coupler U2 and is present to current limit the signal presented to the internal diode of the device. The output is current limited by resistor R4 <br />(4.7 kΩ) and is connected to the DE and RE (inverted) inputs of the RS485 transceiver (U4 - MAX 481E). </div><div><br /></div><div>Resistor R3 (470Ω) is connected to the input of Opto-coupler U2 and is present to current limit the signal presented to the internal diode of the device. The output is current limited by resistor R5 <br />(4.7 kΩ) and is connected to the DE and RE (inverted) inputs of the RS485 transceiver (U4 - MAX 481E). </div><div><br /></div><div>The Opto-Couplers are powered by 5 V dc coming from the regulated Arduino R3 supply and are isolated from the 5 V dc signal coming from the DC to DC converter </div><div><br /></div><div>The IO (A and B) signals of the R485 transceiver (U4 - MAX 481E) are connected to three resistors (R7 - 562 Ω, R8 - 133 Ω, and R9 - 562 Ω). These are present to provide the 120 Ω impedance matching for the RS485 transceiver. The input and output to the RS485 transceiver are connected to screw terminals with an isolated return (GND2). These will connect to the signal cable used to connect this circuit to the DMX controller. </div><div><br /></div><div><a href="https://datasheets.maximintegrated.com/en/ds/MAX1487-MAX491.pdf" target="_blank">Max 481 datasheet</a><br /></div><div><br /></div><div>The next section is present to allow the user to pre-set the DMX address:</div><div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEhVVkh9FjvjQyfuAEJ8k-9nYX4i6PzkStewtpCeGqHQf1SdIKbZ4lzE-rZ3gpD4efLf_oM1a5ZNaWHL2WLzU0JhDg8-cl4sWSDu1mOvK4PGqk_Nnrar93gMlp85H3k29uE4Ffegl4jlMx1XOzibcytAXxCaJHUyFzEqtHBKTXrpKfObbFpfEJ8JjODj" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="711" data-original-width="1291" height="352" src="https://blogger.googleusercontent.com/img/a/AVvXsEhVVkh9FjvjQyfuAEJ8k-9nYX4i6PzkStewtpCeGqHQf1SdIKbZ4lzE-rZ3gpD4efLf_oM1a5ZNaWHL2WLzU0JhDg8-cl4sWSDu1mOvK4PGqk_Nnrar93gMlp85H3k29uE4Ffegl4jlMx1XOzibcytAXxCaJHUyFzEqtHBKTXrpKfObbFpfEJ8JjODj=w640-h352" width="640" /></a></div><br />The 8 way DIP switches (SW1) are connected to the Arduino R3 spare pins and 330 pull up resistors. It is a standard way of connecting switches to microcontroller input pins. The current presented to the microcontroller inputs when the switch is closed is 15 mA which is within the specification of the device (ATMEL 328).</div><div><br /></div><div><a href="https://www.farnell.com/datasheets/1754067.pdf" target="_blank">8 Way DIP switch datasheet</a><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEjOvhdoMLvekiI_6tII-TyI4a785UnCLf2Y6uYs6jKVJ_mGFqjk4jEozpnxECoJPJdH_6_tHVU3oBTGKQaKwCieYVgsGshvHCDRHyw6FqZ_0gFrGrEs-aA2GqGMSiIFu8oNMw-xhOggi5hzT4MXhpw51WYFOL9VFZ7GanQARfPLWAGaa4MIbuqTMJVg" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="415" data-original-width="735" height="362" src="https://blogger.googleusercontent.com/img/a/AVvXsEjOvhdoMLvekiI_6tII-TyI4a785UnCLf2Y6uYs6jKVJ_mGFqjk4jEozpnxECoJPJdH_6_tHVU3oBTGKQaKwCieYVgsGshvHCDRHyw6FqZ_0gFrGrEs-aA2GqGMSiIFu8oNMw-xhOggi5hzT4MXhpw51WYFOL9VFZ7GanQARfPLWAGaa4MIbuqTMJVg=w640-h362" width="640" /></a></div>The SPI output of the circuit is taken from Pin 12 of the Arduino R3 along with supply voltage and ground to a three terminal screw connector. This is a nice robust way of connecting to off the shelf Neopixel circuits. </div><div><br /></div><div>The final section is the standard layout used for connecting a shield circuit board to an Arduino R3.</div><div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEjP4_-JPZl7tNfhOPccX-oJjULTRB1zF58Moo1t0K0Ez759Tfz4D2bRLbLeMDrPPs9HP6Q-deciIpFoSKMx99EzaFNY7qNw4qePlSOSdZchOhClmR-OT07tDaqexRucCVwTDs5wgmm4aXAYvUfTgLexbXs4hFizCEqKy7RVgChc_r3x6buFfhdt2UGN" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="897" data-original-width="841" height="640" src="https://blogger.googleusercontent.com/img/a/AVvXsEjP4_-JPZl7tNfhOPccX-oJjULTRB1zF58Moo1t0K0Ez759Tfz4D2bRLbLeMDrPPs9HP6Q-deciIpFoSKMx99EzaFNY7qNw4qePlSOSdZchOhClmR-OT07tDaqexRucCVwTDs5wgmm4aXAYvUfTgLexbXs4hFizCEqKy7RVgChc_r3x6buFfhdt2UGN=w600-h640" width="600" /></a></div><br />It saves time designing PCB layouts as all of the dimensions and connections are present and contain NET labels.</div><div><br /></div><div>Here is the bill of materials in case it is needed:</div><div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEjP9oDdG3drJNL3zeg5Wp0aDQBxDv24V5SWDkIE0s8K3YhfEyR10AjeXQ2kmnqK-L-P5S15FD6rULYf6o7DjGXf5muKnGtQx0hJ6ixSJHPo6aKwh6VxNE6Xt_PC6kuKmOx1RhyLxDMbd8VwkLvUc49LDZXrV8Pz5f9Bp5iYOENauEuDssw9CfGczkTj" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="700" data-original-width="1791" height="250" src="https://blogger.googleusercontent.com/img/a/AVvXsEjP9oDdG3drJNL3zeg5Wp0aDQBxDv24V5SWDkIE0s8K3YhfEyR10AjeXQ2kmnqK-L-P5S15FD6rULYf6o7DjGXf5muKnGtQx0hJ6ixSJHPo6aKwh6VxNE6Xt_PC6kuKmOx1RhyLxDMbd8VwkLvUc49LDZXrV8Pz5f9Bp5iYOENauEuDssw9CfGczkTj=w640-h250" width="640" /></a></div><br />I haven't ordered any of these parts yet but I already know that some are not in stock...the fallout from the pandemic is very real. Some are due in next week so I can get ordering!</div><div><br /></div><div>Here is the PCB layout: </div><div><br /></div><div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEhTfZCzgWw4qObGAUajXgYDiBO8nZHoEanCc5odlA3WfuwhmMIdedWvM0zPn9oZsS1rtoG5PiDpmyPpfVcsf7Vyyg1csVNtuoLinMPH_WVSvsmnRCrziJlR1J8IWkEGHWn_lJTyqKTn98qC_zpvoDq_BGlEs-8lpIFoEEXmxUXdTYtWP0lqM98OszUH" style="margin-left: 1em; margin-right: 1em;"><img alt="" data-original-height="745" data-original-width="971" height="491" src="https://blogger.googleusercontent.com/img/a/AVvXsEhTfZCzgWw4qObGAUajXgYDiBO8nZHoEanCc5odlA3WfuwhmMIdedWvM0zPn9oZsS1rtoG5PiDpmyPpfVcsf7Vyyg1csVNtuoLinMPH_WVSvsmnRCrziJlR1J8IWkEGHWn_lJTyqKTn98qC_zpvoDq_BGlEs-8lpIFoEEXmxUXdTYtWP0lqM98OszUH=w640-h491" width="640" /></a></div><br />The 3D render is probably easier to see and understand:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjxrjaPtfuN18PY9KKz7NQDNzj95wYgYysKoeWxFYtp4CxBzi_1Jm-EtvbEbMvtfyrm5OnGVkhofySemI5Cx1ZTWEeRewquNrgQDlsqcMWpy1Oqg9owtX5dVnU24mPPE-VOovHSCKwGlC1Z7SzBlUpg0IY_sWYC4AGEpETdJ1H7Z569az35LYrfGY91/s1278/DMX_to_Neopixel%20Top%20layer.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="880" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjxrjaPtfuN18PY9KKz7NQDNzj95wYgYysKoeWxFYtp4CxBzi_1Jm-EtvbEbMvtfyrm5OnGVkhofySemI5Cx1ZTWEeRewquNrgQDlsqcMWpy1Oqg9owtX5dVnU24mPPE-VOovHSCKwGlC1Z7SzBlUpg0IY_sWYC4AGEpETdJ1H7Z569az35LYrfGY91/w640-h440/DMX_to_Neopixel%20Top%20layer.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The DMX to SPI Converter shield - Top Layer </td></tr></tbody></table><br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6yiMrJwkC1duFL1aejabcLhXHyZs6ovMpVaT-qMizG-p1MdzfXZjCEpt1jGJ8JJ88coGUx4UCzqfLs-PtaV43teKhYtn7zMZQW9587Vwmcgnj0dyKsoj_l2oLZn_b4yISqE32F_2yX4V1t71i5UB9i9U-tYzEGOWygwq1-68VlJGpFym77KrOZ60T/s1278/DMX_to_Neopixel%20Bottom%20Layer.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="880" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6yiMrJwkC1duFL1aejabcLhXHyZs6ovMpVaT-qMizG-p1MdzfXZjCEpt1jGJ8JJ88coGUx4UCzqfLs-PtaV43teKhYtn7zMZQW9587Vwmcgnj0dyKsoj_l2oLZn_b4yISqE32F_2yX4V1t71i5UB9i9U-tYzEGOWygwq1-68VlJGpFym77KrOZ60T/w640-h440/DMX_to_Neopixel%20Bottom%20Layer.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The DMX to SPI Converter shield - Bottom Layer</td></tr></tbody></table><br /><div>There isn't much to say about the PCB layout. I've tried to make sure that all of the traces carrying high current are nice and thick. The silk screen labels are visible and it is fairly easy to populate by hand if required. I wish I had labelled in the inputs and outputs so I know where the GND and +12 V input connections are and where the DMX and NeoPixel connections are. There are always things I would do differently if given a chance to repeat.</div><div><br /></div><div>I got ten boards made by PCBWay for a reasonable price and they were delivered in very short order!</div><div><br /></div><div>Here is a picture of the unpopulated board:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjOBVM6DIUtV3xbIq7R3JUFZaXT0udqbsaRjcnl8DCxbUL-Ljh6kJauUtRAJ2qLdCXm1ldiv_UYETGoflcuE3-UubTleDqin_cOI84jcLYaInijgQHw1yHbDwIL-LJeUReWhLPiq8Pgn084nVSvdVb00kLv9c6BAvHYLJ21ZnicqaeH0AlgDXIB-RXk/s856/The%20manufactured%20DMX%20shield.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="856" data-original-width="482" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjOBVM6DIUtV3xbIq7R3JUFZaXT0udqbsaRjcnl8DCxbUL-Ljh6kJauUtRAJ2qLdCXm1ldiv_UYETGoflcuE3-UubTleDqin_cOI84jcLYaInijgQHw1yHbDwIL-LJeUReWhLPiq8Pgn084nVSvdVb00kLv9c6BAvHYLJ21ZnicqaeH0AlgDXIB-RXk/w360-h640/The%20manufactured%20DMX%20shield.jpg" width="360" /></a></div><br /><div>My plan is to populate and test this board as soon as possible as I intend to use it in my next project - the DMX controlled patio lamp :)</div><div><br /></div><div>That is all for now - take care always - Langster!</div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-51581876460131054742022-07-01T14:05:00.001+01:002022-07-01T14:05:16.679+01:00Designing a DMX controlled Patio Light with NeopixelsA friend of mine has approached me to make him some Patio lights. He wants them to be interactive! I'm thinking the best idea would be to make him some sort of DMX controlled light with WS2815 LEDS. I can build on the previous design work I have already done which should save me some time. <div><br /></div><div>For the enclosure my plan is to take an existing garden rock lamp and re-engineer it for this purpose. This should save me having to design some clever aesthetics.
To that end I have bought a cheap (£3.20) garden lamp from B & Q - A popular Home / garden improvement chain in the UK: </div><div><br /></div><div><a href="https://www.diy.com/departments/grey-solar-powered-integrated-led-outdoor-lamp/5059340020471_BQ.prd" rel="nofollow" target="_blank">https://www.diy.com/departments/grey-solar-powered-integrated-led-outdoor-lamp/5059340020471_BQ.prd</a><br /></div><div><br /></div><div><a href="https://www.diy.com/departments/grey-solar-powered-integrated-led-outdoor-lamp/5059340020471_BQ.prd" target="_blank"></a>The lamp itself looks like this:</div><div> <table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><img alt="" border="0" data-original-height="381" data-original-width="373" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj-wfCIGFkoQKRNDzuwgp1DyVbQsgRAMpRbBmOabf5fVQEeWAGLeOXl4iY9Jb3FzbxesTn2uf8i9TfFzUEthZCB-6kHZ_zD2bz6nrG6-_04wgwa9GIVRx0YnAsXNliMNR4Gx6sjT6-68oPqh9QDLcy_gBYJu9jHg4xmU_ETWB_qi8pcBDUZGX3PJCG1/w313-h320/Rock%20Lamp.png" style="margin-left: auto; margin-right: auto;" width="313" /></td></tr><tr><td class="tr-caption" style="text-align: center;">Simple Garden Solar charging rock lamp</td></tr></tbody></table><br /></div><div>The lamp housing appears to made of some sort of ABS moulded plastic. The Reflector and LEDS are protected by a simple circular polycarbonate shield. There is a battery housing and a button on the base of the housing.</div><div><br /></div><div>Don't turn it on - Take it apart!!!</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjttt499GX-zYhwQYoVzQTNSYj1SzfYNoo4qeI1VsrVJb0OTesM8pjUjCI5c0gptSgkkmrmTtXcdz96nEq1Y9HJANE511ihsFjoVZKPVncc68EXBDBUil61cQisesIw1K5CfR4d23ywinzRedLoAk2Lcl2ybkWa0QlXVL4Vw-XifjHbgE2I89FyVNd6/s1280/Deconstructed%20Rock%20Lamp.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="721" data-original-width="1280" height="225" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjttt499GX-zYhwQYoVzQTNSYj1SzfYNoo4qeI1VsrVJb0OTesM8pjUjCI5c0gptSgkkmrmTtXcdz96nEq1Y9HJANE511ihsFjoVZKPVncc68EXBDBUil61cQisesIw1K5CfR4d23ywinzRedLoAk2Lcl2ybkWa0QlXVL4Vw-XifjHbgE2I89FyVNd6/w400-h225/Deconstructed%20Rock%20Lamp.jpg" width="400" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The deconstructed lamp</td></tr></tbody></table><br /><div>The light actually came apart very easily...it was mainly hot glued together! The reflector, battery box and solar panel will be discarded as they won't have any purpose in the upcycled lamp. I will probably leave the solar panel on as getting it off will be difficult and it won't do any harm.</div><div><br /></div><div>There is ample space inside the lamp for a couple of circuit boards and some ballast (weight) to stop the lamp moving too easily. My current thinking is to design two circuit boards. One for the DMX and one for the lighting. The controller will be a small microcontroller board which accepts DMX and outputs SPI to the lighting board. The lighting board will be a circular PCB with WS2815 LEDS arranged in a sensible pattern. If I'm luck it will be possible to fit 32 LED pixels on the display board.</div><div><br /></div><div>I have not decided which microcontroller to use yet...probably an arduino or teensy variant. There is no need to go for a wifi enabled micro as the plan is to use wire to carry both power and the DMX signal.</div><div><br /></div><div>The diameter of the reflector is 84 mm. I think the lamp PCB will need to be the same dimensions. Hopefully we can get 32 W2815 LEDS (Pixels) to fit!</div><div><br /></div><div>So to recap our electronic and mechanical requirements:</div><div><br /></div><div>Design a lamp PCB with 32 pixels. I think powering the lights via 12 volts might be a good idea however I will consider this more once I get to the PCB layout. We will need to ensure the tracks are suitably rated for the current flow. We will fuse the voltage signal on the control board with a user changeable fuse.</div><div><br /></div><div>Nice to haves: 32 pixels - allows for simple channel assignment via DMX controllers. Each lamp on one universe...with 96 channels. </div><div><br /></div><div>So the design tasks so far:</div><div><ul style="text-align: left;"><li>Design a Lamp PCB</li><li>Design a DMX to neopixel PCB with optocoupled DMX in and out ports - possibly using the one I've already designed. </li><li>The micro is yet to be decided.</li><li>The circuit will also be powered via 12 V dc but we will probably need to regulate that down to 5 V dc for the micro and other circuitry...sound detection, light detection etc.</li></ul></div><div>I haven't got a budget set however cheaper is always better!</div><div><br /></div><div>That will do for now! Take care - Langster!</div><div><br /></div><div><br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-28292298897365361792022-03-15T16:32:00.004+00:002024-03-18T17:06:35.959+00:00Designing a simple breakout PCB for a 3D printing project<p> I was approached by a bloke on facebook for a price to design a simple breakout PCB for a 3D printing project he is working on. As the board was relatively simple and it was for an open source project I decided to do it for him for free. In return I get to blog about it!</p><p>The board itself was part of a 3D printer dual extruder purge controller. The purge controller uses servo motors to control when a filament change occurs removing the requirement for a purge tower and less filament wastage. The unused filament is extruded as blobs into a small hopper on the side of the 3D printer.</p><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen='allowfullscreen' webkitallowfullscreen='webkitallowfullscreen' mozallowfullscreen='mozallowfullscreen' width='320' height='266' src='https://www.blogger.com/video.g?token=AD6v5dyA-KaZnsG0wd4LbC0s0OIr3qECn1QJ7SFlZCEh2H-OViQ6rHcaVjEWlQC7j2kGiH3uH_ObThlzsUVPI81IQA' class='b-hbp-video b-uploaded' frameborder='0'></iframe></div><p>I must be clear that I did not design any of the circuitry or write any firmware so I cannot assist with the specifics to the project - all I did was layout a PCB.</p><p>The circuitry and components used were supplied as a fritzing diagram:</p><p></p><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEh8vkP4PnqpnJt5_q45K2k8ttoHlrtx-06-nSAEILVDB1zBrE1cmiOjeG6Wq_ZhIgPnNjMH7Yg0tgNUaZ_I1hDnn92S0p13pgvx47b7DwkC2U61CxcP5U5LQYLfVF_GJ9q0YGi-aP2v_CiFvYNS36F5bEWaVYdw8OQ5-Ut96PfurA_2UqmMnFEwKznl=s1999" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1484" data-original-width="1999" height="476" src="https://blogger.googleusercontent.com/img/a/AVvXsEh8vkP4PnqpnJt5_q45K2k8ttoHlrtx-06-nSAEILVDB1zBrE1cmiOjeG6Wq_ZhIgPnNjMH7Yg0tgNUaZ_I1hDnn92S0p13pgvx47b7DwkC2U61CxcP5U5LQYLfVF_GJ9q0YGi-aP2v_CiFvYNS36F5bEWaVYdw8OQ5-Ut96PfurA_2UqmMnFEwKznl=w640-h476" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Blobster Fritzing Diagram</td></tr></tbody></table><br /><p></p><div class="separator" style="clear: both; text-align: center;"><br /></div>The board had to be a specific size to fit an already designed enclosure. The board size had to be less than 47 mm by 40 mm. After discussion with the lad on facebook the only parts needed on the board were:<p></p><div>1x Arduino nano every </div><div>1x 15k Resistor</div><div>1x 10k Resistor</div><div>4x 3 way 2.54' pitch header pins</div><div><div>1x 4 way 2.54' pitch header pins</div><div><div>1x 6 way 2.54' pitch header pins</div><div>1x DC barrel jack socket</div></div></div><div><br /></div><div>Everything else will be connected off board via the header pins.</div><div><br /></div><div>Once all was agreed I fired up kicad and drew up the schematic diagram:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEhj3jhlAE3Qzfxj4GixcdXA1lgyIzUsVlPfWAH5B9Z4qqYp_5Nssr-B-8TKoaVpIwYLKWuCHhU9i2Zs5vjMWK9LpYI34kHj7foq3-9HeLzMiPpgbrTl6xIFA-ankP0T2iHt2CECdiLT-oAJV1mn6nPkHcPy3gIfaMW1hc6dtlUkO686ix8RjjUGLuQ2=s2048" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1448" data-original-width="2048" height="452" src="https://blogger.googleusercontent.com/img/a/AVvXsEhj3jhlAE3Qzfxj4GixcdXA1lgyIzUsVlPfWAH5B9Z4qqYp_5Nssr-B-8TKoaVpIwYLKWuCHhU9i2Zs5vjMWK9LpYI34kHj7foq3-9HeLzMiPpgbrTl6xIFA-ankP0T2iHt2CECdiLT-oAJV1mn6nPkHcPy3gIfaMW1hc6dtlUkO686ix8RjjUGLuQ2=w640-h452" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Blobster Schematic Diagram</td></tr></tbody></table><br /><div>I then selected all of the PCB footprints. I actually find it quite useful that Kicad forces you to choose footprints before you start layout. It prevents you from selecting parts you cannot obtain or solder!</div><div><br /></div><div>Next I swapped to the PCB design and usually for me I chose to set the board dimensions first and then began arranging the components to suit. Normally I rotate and move the components around in the rats nest until I get the best electrical connections and groupings and then set the PCB size.</div><div><br /></div><div>After that was complete I did the routing of the tracks. There was nothing special about the circuit - no high current traces or impedance matching was needed. I didn't even bother with a ground plane - I was in a rush!</div><div><br /></div><div>Here is the final layout:</div><div><br /></div><div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEjcBBdOkdfCYqITsATR85oCWMLIOvf93i2Dw2Sg2_1IXI5Gz7Ob3yuE-_jVTmNdWWPoqcD5Hc97PdRgBErUezTwCNjnj8k8m64VDClocntUDX4AodY8oTBkjemPwOnbbgH0luPteihlUwSyNN_-hNyvWQ7wc8njR4abqLEs2BQqY2nv-hoYWPtAKwWF=s1280" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="984" data-original-width="1280" height="492" src="https://blogger.googleusercontent.com/img/a/AVvXsEjcBBdOkdfCYqITsATR85oCWMLIOvf93i2Dw2Sg2_1IXI5Gz7Ob3yuE-_jVTmNdWWPoqcD5Hc97PdRgBErUezTwCNjnj8k8m64VDClocntUDX4AodY8oTBkjemPwOnbbgH0luPteihlUwSyNN_-hNyvWQ7wc8njR4abqLEs2BQqY2nv-hoYWPtAKwWF=w640-h492" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Blobster PB layout with dimensions</td></tr></tbody></table><br /> I also checked the 3D renders out just to make sure all was well. I really find this feature useful</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEhe3C1UHjoiuh6Yke0QNODjm4qmDt5RU_VZw5eSm0xv8i8yb9LrrqOo-1vuqW4jH9RMwhbVhH9BqGmuSi1s8TrJBQp3_XlxH3HH_eZm0kYrRIHXwu3BmgsvNmOcIn4BU7SKpVBpsE_bv2JMp34zxDX2Jdx3NrPGkBMeyXEsZALAiV7STdnMtB1gddkj=s1278" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="879" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/a/AVvXsEhe3C1UHjoiuh6Yke0QNODjm4qmDt5RU_VZw5eSm0xv8i8yb9LrrqOo-1vuqW4jH9RMwhbVhH9BqGmuSi1s8TrJBQp3_XlxH3HH_eZm0kYrRIHXwu3BmgsvNmOcIn4BU7SKpVBpsE_bv2JMp34zxDX2Jdx3NrPGkBMeyXEsZALAiV7STdnMtB1gddkj=w640-h440" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Top down render</td></tr></tbody></table><br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/a/AVvXsEgh1xSAQi18rQU4z-J4f1B2vghO_lJM39UF3cUV3IUQggnBWUgXQMeFDtXt6MNOmU6Nuq6fDxFBVWcPKgFPOAr0v0GiqaXbUgUtUrLKAFAUdKORw4GfmuEyuh0vKndo77p3-qTQ230sgD1TI_rO4WxW3GYkyXh_Ut4BdeFjEOnHc6V3Wmr1dx1mPVVa=s1278" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="879" data-original-width="1278" height="440" src="https://blogger.googleusercontent.com/img/a/AVvXsEgh1xSAQi18rQU4z-J4f1B2vghO_lJM39UF3cUV3IUQggnBWUgXQMeFDtXt6MNOmU6Nuq6fDxFBVWcPKgFPOAr0v0GiqaXbUgUtUrLKAFAUdKORw4GfmuEyuh0vKndo77p3-qTQ230sgD1TI_rO4WxW3GYkyXh_Ut4BdeFjEOnHc6V3Wmr1dx1mPVVa=w640-h440" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Isometric view of the PCB in 3D</td></tr></tbody></table><br /><div>I then plotted the gerber and drill files and sent them to the customer (bloke from Facebook) - He uploaded them to PCBWay and got a quote for £18 including delivery! I believe all has gone well and he is expecting delivery soon. I will post images of the boards etc when I get them.</div><div><br /></div><div>That's all for now - Langster!</div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0Manchester, UK53.4807593 -2.242630525.170525463821157 -37.3988805 81.790993136178855 32.9136195tag:blogger.com,1999:blog-1118055223601902892.post-83050565370633154002021-08-21T16:51:00.002+01:002021-08-21T16:51:39.128+01:00DMX to control NeoPixels and an Isolated DMX Shield<p>I've been playing about with RS485 and DMX for some time now. I am particularly interested in being able to control NeoPixels via DMX. The amount of software and experience available for using DMX to control lights and LEDS in particular is vast. YouTube is full of lighting shows and displays which range from humble but functional to truly epic and vast. Here are a couple of videos which I thought were amazing:</p><p></p><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen="" class="BLOG_video_class" height="266" src="https://www.youtube.com/embed/aJHRHa1D6OE" width="320" youtube-src-id="aJHRHa1D6OE"></iframe></div><div style="text-align: center;">Submergence - Squidsoup</div> <p></p><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen="" class="BLOG_video_class" height="266" src="https://www.youtube.com/embed/7VAXrPRxD7I" width="320" youtube-src-id="7VAXrPRxD7I"></iframe></div><div style="text-align: center;">Tom Betgeorge's Excellent 2020 Christmas Light Show</div><div style="text-align: center;"><br /></div><div style="text-align: left;">I must admit I watched all 35 minutes of that Christmas Show...It is incredible work. Any way this is achieved is by controlling lots of LEDS in pre-programmed sequences using DMX control software and LED lights. DMX is a lighting protocol based upon RS485. Here is a brief primer on DMX:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.sweetwater.com/sweetcare/articles/understanding-dmx/" target="_blank">https://www.sweetwater.com/sweetcare/articles/understanding-dmx/</a><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;">Here is another which goes into more technical detail:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.chauvetlighting.com/downloads/DMX_Primer_Rev6_WO.pdf">https://www.chauvetlighting.com/downloads/DMX_Primer_Rev6_WO.pdf</a></div><div style="text-align: left;"><br /></div><div style="text-align: left;">So basically lighting technicians control the lights in theatres, discos and concerts using the DMX protocol to control the brightness, colour and state (off/on) of a light or lighting fixture (many lights in one package).</div><div style="text-align: left;"><br /></div><div style="text-align: left;">DMX uses RS485 which is a differential serial protocol that electronic equipment has been using for communication since the standard was approved in 1998 and probably earlier than that.</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://en.wikipedia.org/wiki/RS-485" target="_blank">https://en.wikipedia.org/wiki/RS-485</a> </div><div style="text-align: left;"><br /></div><div style="text-align: left;">I'm not going to go into too much detail on R485 or DMX for now other than to say it's very useful stuff for electronics and lighting fans to know about.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">I have a confession to make: I'd like to be able to control lights...not just one or two but many. If I had my way every light in my house would be sequenced and remote controlled. Unfortunately not everyone I live with approves of this plan and as such most of my lights are still just regular lights....(boring!!!).</div><div style="text-align: left;"><br /></div><div style="text-align: left;">I have been asked in the past to assist with light shows and recently I have been asked to assist with the technical development of a light show using NeoPixels.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">To that end I started to look at the DMX shields available for the Arduino and have a play. I noticed that most of them have a flaw - they aren't isolated...that means that the electrical supply used to power the lights is also connected via the return (or ground) to the controller. In most cases this isn't an issue but it can be in very large lighting displays with lots of wiring and fixtures because of something referred to as a 'ground loop'.</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://erg.abdn.ac.uk/users/gorry/eg3576/DMX-phy.html">https://erg.abdn.ac.uk/users/gorry/eg3576/DMX-phy.html</a><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;">Here are some of the DMX shields designed for use with the Arduino R3:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://uk.banggood.com/DMX-Shield-MAX485-Chipset-Development-Board-p-1029693.html" target="_blank">https://uk.banggood.com/DMX-Shield-MAX485-Chipset-Development-Board-p-1029693.html</a><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.okdo.com/p/arduino-tinkerkit-dmx-master-shield/" target="_blank">https://www.okdo.com/p/arduino-tinkerkit-dmx-master-shield/</a><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;">There is nothing functionally wrong with them and I bought two of the Concineptics variants to play with. They arrived in good order and worked as described once I understood the code and the jumper settings. </div><div style="text-align: left;"><br /></div><div style="text-align: left;">In order to actually use DMX properly with the software one needs a controller. Something I was aware of but not completely in understanding of at all. I'd always used a hardware controller in the past.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">So to really make use of DMX you will either need to make your own controller or buy one off Ebay or Amazon or wherever you might wish to. I wanted to use an external computer and software to control my lights as I don't own or have access to a hardware DMX controller.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">I bought a USB to RS485 dongle off Amazon which works perfectly...It didn't at first but that's because I cannot wire things up for love nor money sometimes. I then bought a USB to DMX dongle and that worked straight out of the box without any issues whatsoever! Don't tell anyone but I'm very disappointed in my engineering abilities sometimes...Not being able to wire up simple circuits competently is worrisome for somebody who works in electronic engineering!</div><div style="text-align: left;"><br /></div><div style="text-align: left;">Here are the two devices I bought which I heartily recommend:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.amazon.co.uk/gp/product/B07WV6P5W6/ref=ppx_yo_dt_b_asin_title_o03_s00?ie=UTF8&psc=1" target="_blank">https://www.amazon.co.uk/gp/product/B07WV6P5W6/ref=ppx_yo_dt_b_asin_title_o03_s00?ie=UTF8&psc=1</a> <br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.amazon.co.uk/gp/product/B07B416CPK/ref=ppx_yo_dt_b_asin_title_o08_s00?ie=UTF8&psc=1">https://www.amazon.co.uk/gp/product/B07B416CPK/ref=ppx_yo_dt_b_asin_title_o08_s00?ie=UTF8&psc=1</a></div><div style="text-align: left;"><br /></div><div style="text-align: left;">Once you have a method of controlling DMX lights it's time to either buy some or make some...user's choice. I was trying to make some so I got hold of some NeoPixel Tape and then connected everything up.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">Before doing anything I tend to do some research...Planning is everything so they say....I watched several videos on YouTube and read some blogs on DMX and in particular this one stood out:</div><div style="text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen="" class="BLOG_video_class" height="266" src="https://www.youtube.com/embed/RZ_UWYBOj8M" width="320" youtube-src-id="RZ_UWYBOj8M"></iframe></div><div style="text-align: center;">Gadget Reboot has an excellent channel and I recommend subscribing!</div><div style="text-align: left;"><br /></div><div style="text-align: left;">In it the narrator discusses exactly what I'm trying to achieve and provides all of the equipment required and some code. The only issue I had was that it didn't work for me! I didn't have the exact same setup and tried to rush things...never a good situation. Their setup uses an Arduino mega and some potentiometers, an RS485 breakout module, Buttons and some wire for the connections - for the hardware controller. For the receiver they use an Arduino uno and an RS485 breakout and some WS2812B LEDS. I suspect armed with what I know now I could probably get this setup working... </div><div style="text-align: left;"><br /></div><div style="text-align: left;">Here is my setup that worked for me:</div><div style="text-align: left;"> </div><div style="text-align: left;"><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-taoDWkQEoJM/YSEKrKUvPEI/AAAAAAAAr4s/tnqNrjkic6YUsJ835JM3blal0OXgddJpwCLcBGAsYHQ/s2048/DMX%2BTest%2BCircuit_bb.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1645" data-original-width="2048" height="514" src="https://1.bp.blogspot.com/-taoDWkQEoJM/YSEKrKUvPEI/AAAAAAAAr4s/tnqNrjkic6YUsJ835JM3blal0OXgddJpwCLcBGAsYHQ/w640-h514/DMX%2BTest%2BCircuit_bb.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">A DMX to NeoPixel Receiver Setup!</td></tr></tbody></table><br /></div><div style="text-align: left;">The equipment needed:</div><div>1x Arduino R3 or suitable clone: </div><div><a href="https://www.ebay.co.uk/itm/393003084114" target="_blank">https://www.ebay.co.uk/itm/393003084114</a><br /></div><div><br /></div><div>1x RS485 breakout PCB: </div><div><a href="https://www.amazon.co.uk/gp/product/B07TVH69NM/ref=ppx_yo_dt_b_asin_title_o06_s00?ie=UTF8&psc=1">https://www.amazon.co.uk/gp/product/B07TVH69NM/ref=ppx_yo_dt_b_asin_title_o06_s00?ie=UTF8&psc=1</a></div><div><div style="text-align: left;"><br /></div><div style="text-align: left;">1x RS485 to USB Dongle:</div><div style="text-align: left;"><a href="https://www.amazon.co.uk/gp/product/B07B416CPK/ref=ppx_yo_dt_b_asin_title_o08_s00?ie=UTF8&psc=1" target="_blank">https://www.amazon.co.uk/gp/product/B07B416CPK/ref=ppx_yo_dt_b_asin_title_o08_s00?ie=UTF8&psc=1</a><br /></div><div style="text-align: left;"><br /></div></div><div style="text-align: left;">Suitable connection wire for making the connections between the arduino and the breakouts etc as well as some 4 core 120 Ohm signal cable for the RS485 communications. For testing any wire would do but once something longer distance or more permanent is required get proper cable. Belden 9842 is a pretty good option. At a pinch some old ethernet cable would work...cut the R45 connectors off and use four of the cores...</div><div style="text-align: left;"><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-dFhE4s3Gkfg/YSELv3Fe-aI/AAAAAAAAr5E/pXzwpukF_QE3ElyyB5_rWmb3zVH6h4rggCLcBGAsYHQ/s1699/Actual%2BTest%2BSetup.jpeg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1064" data-original-width="1699" height="400" src="https://1.bp.blogspot.com/-dFhE4s3Gkfg/YSELv3Fe-aI/AAAAAAAAr5E/pXzwpukF_QE3ElyyB5_rWmb3zVH6h4rggCLcBGAsYHQ/w640-h400/Actual%2BTest%2BSetup.jpeg" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The actual setup!</td></tr></tbody></table><div style="text-align: left;"><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;">You will need to flash the following arduino code onto your Arduino R3:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><pre style="color: #333333; line-height: 16.25px; margin-bottom: 0px; margin-top: 0px;"><span style="color: #888888;">// Target Hardware: Arduino Uno</span>
<span style="color: #557799;">#include <DMXSerial.h></span>
<span style="color: #557799;">#include "ws2812.h" </span><span style="color: #888888;">// a specific LED controller that disables interrupts to work better</span>
<span style="color: #557799;">#define NUM_LEDS 30 </span><span style="color: #888888;">// number of RGB LEDs on strip - 3 LEDS in one W2182B9</span>
<span style="color: #557799;">#define DMXSTART 1 </span><span style="color: #888888;">// first DMX channel</span>
<span style="color: #557799;">#define DMXLENGTH (NUM_LEDS*3) </span><span style="color: #888888;">// number of DMX channels used (3*30 LEDs)</span>
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">setup</span> () {
DMXSerial.init(DMXProbe); <span style="color: #888888;">// initialize DMX bus in manual access mode</span>
DMXSerial.maxChannel(DMXLENGTH); <span style="color: #888888;">// "onUpdate" will be called when all new ch data has arrived</span>
setupNeopixel(); <span style="color: #888888;">// setup the LED output hardcoded to pin 12 in ws2812.h</span>
}
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">loop</span>() {
<span style="color: #888888;">// wait for an incomming DMX packet and write</span>
<span style="color: #888888;">// the RGB data for 60 LEDs on the strip</span>
<span style="color: #008800; font-weight: bold;">if</span> (DMXSerial.receive()) {
updateNeopixel(DMXSerial.getBuffer() + DMXSTART, NUM_LEDS);
}
}</pre></div><div style="text-align: left;"><br /></div><div style="text-align: left;">You will need to download a copy of the DMXSerial Library. It is available here:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://github.com/mathertel/DMXSerial" target="_blank">https://github.com/mathertel/DMXSerial</a><br /></div><div style="text-align: left;"><br /></div><div style="text-align: left;">Here is the source for ws2812.h - Cut and paste it into a text file called ws2812.h and store it in the same directory as your arduino sketch.</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><pre style="color: #333333; line-height: 16.25px; margin-bottom: 0px; margin-top: 0px;"><span style="color: #888888;">// neopixel.h</span>
<span style="color: #888888;">/*</span>
<span style="color: #888888;"> The Neopixel driving routines are taken from the article and sketch from bigjosh</span>
<span style="color: #888888;"> http://wp.josh.com/2014/05/13/ws2812-neopixels-are-not-so-finicky-once-you-get-to-know-them/</span>
<span style="color: #888888;"> where the interrupt cli() and sei() are included in the sendBit function.</span>
<span style="color: #888888;"> At the sources from his github this is not the case but it's important for the usage with DMXSerial library.</span>
<span style="color: #888888;"> (see https://github.com/bigjosh/SimpleNeoPixelDemo )</span>
<span style="color: #888888;"> These routines fit very good to the DMXSerial implementation because they switch on and off the</span>
<span style="color: #888888;"> Interrupt</span>
<span style="color: #888888;"> On DMX usual channels are used in the red then green then blue order.</span>
<span style="color: #888888;"> Neopixel wants colors in green then red then blue order so the 2 channels are switched.</span>
<span style="color: #888888;">*/</span>
<span style="color: #888888;">// ----- global defines from josh: -----</span>
<span style="color: #888888;">// These values are for the pin that connects to the Data Input pin on the LED strip. They correspond to...</span>
<span style="color: #557799;">#define PIXEL_PORT PORTB </span><span style="color: #888888;">// Port of the pin the pixels are connected to</span>
<span style="color: #557799;">#define PIXEL_DDR DDRB </span><span style="color: #888888;">// Port of the pin the pixels are connected to</span>
<span style="color: #557799;">#define PIXEL_BIT 4 </span><span style="color: #888888;">// Bit of the pin the pixels are connected to</span>
<span style="color: #888888;">// This re3sults in the following Arduino Pins:</span>
<span style="color: #888888;">// Arduino Yun: Digital Pin 8</span>
<span style="color: #888888;">// DueMilinove/UNO: Digital Pin 12</span>
<span style="color: #888888;">// Arduino Mega PWM Pin 4</span>
<span style="color: #888888;">// You'll need to look up the port/bit combination for other boards.</span>
<span style="color: #888888;">// Note that you could also include the DigitalWriteFast header file to not need to to this lookup.</span>
<span style="color: #888888;">// These are the timing constraints taken mostly from the WS2812 datasheets</span>
<span style="color: #888888;">// These are chosen to be conservative and avoid problems rather than for maximum throughput</span>
<span style="color: #557799;">#define T1H 900 </span><span style="color: #888888;">// Width of a 1 bit in ns</span>
<span style="color: #557799;">#define T1L 600 </span><span style="color: #888888;">// Width of a 1 bit in ns</span>
<span style="color: #557799;">#define T0H 400 </span><span style="color: #888888;">// Width of a 0 bit in ns</span>
<span style="color: #557799;">#define T0L 900 </span><span style="color: #888888;">// Width of a 0 bit in ns</span>
<span style="color: #557799;">#define RES 6000 </span><span style="color: #888888;">// Width of the low gap between bits to cause a frame to latch</span>
<span style="color: #888888;">// Here are some convience defines for using nanoseconds specs to generate actual CPU delays</span>
<span style="color: #557799;">#define NS_PER_SEC (1000000000L) </span><span style="color: #888888;">// Note that this has to be SIGNED since we want to be able to check for negative values of derivatives</span>
<span style="color: #557799;">#define CYCLES_PER_SEC (F_CPU)</span>
<span style="color: #557799;">#define NS_PER_CYCLE ( NS_PER_SEC / CYCLES_PER_SEC )</span>
<span style="color: #557799;">#define NS_TO_CYCLES(n) ( (n) / NS_PER_CYCLE )</span>
<span style="color: #557799;">#define DELAY_CYCLES(n) ( ((n)>0) ? __builtin_avr_delay_cycles( n ) : __builtin_avr_delay_cycles( 0 ) ) </span><span style="color: #888888;">// Make sure we never have a delay less than zero</span>
<span style="color: #888888;">// Low level function with mixed in assembler code.</span>
<span style="color: #888888;">// Actually send a bit to the string. We turn off optimizations to make sure the compile does</span>
<span style="color: #888888;">// not reorder things and make it so the delay happens in the wrong place.</span>
<span style="color: #008800; font-weight: bold;">inline</span> <span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">sendBit</span>( <span style="color: #333399; font-weight: bold;">bool</span> bitVal )
{
<span style="color: #008800; font-weight: bold;">if</span> (bitVal) { <span style="color: #888888;">// 0 bit</span>
<span style="color: #008800; font-weight: bold;">asm</span> <span style="color: #008800; font-weight: bold;">volatile</span> (
<span style="background-color: #fff0f0;">"sbi %[port], %[bit] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Set the output bit</span>
<span style="background-color: #fff0f0;">".rept %[onCycles] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Execute NOPs to delay exactly the specified number of cycles</span>
<span style="background-color: #fff0f0;">"nop </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
<span style="background-color: #fff0f0;">".endr </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
<span style="background-color: #fff0f0;">"cbi %[port], %[bit] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Clear the output bit</span>
<span style="background-color: #fff0f0;">".rept %[offCycles] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Execute NOPs to delay exactly the specified number of cycles</span>
<span style="background-color: #fff0f0;">"nop </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
<span style="background-color: #fff0f0;">".endr </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
::
[port] <span style="background-color: #fff0f0;">"I"</span> (_SFR_IO_ADDR(PIXEL_PORT)),
[bit] <span style="background-color: #fff0f0;">"I"</span> (PIXEL_BIT),
[onCycles] <span style="background-color: #fff0f0;">"I"</span> (NS_TO_CYCLES(T1H) - <span style="color: #0000dd; font-weight: bold;">2</span>), <span style="color: #888888;">// 1-bit width less overhead for the actual bit setting, note that this delay could be longer and everything would still work</span>
[offCycles] <span style="background-color: #fff0f0;">"I"</span> (NS_TO_CYCLES(T1L) - <span style="color: #0000dd; font-weight: bold;">2</span>) <span style="color: #888888;">// Minimum interbit delay. Note that we probably don't need this at all since the loop overhead will be enough, but here for correctness</span>
);
} <span style="color: #008800; font-weight: bold;">else</span> { <span style="color: #888888;">// 1 bit</span>
<span style="color: #888888;">// **************************************************************************</span>
<span style="color: #888888;">// This line is really the only tight goldilocks timing in the whole program!</span>
<span style="color: #888888;">// **************************************************************************</span>
<span style="color: #008800; font-weight: bold;">asm</span> <span style="color: #008800; font-weight: bold;">volatile</span> (
<span style="background-color: #fff0f0;">"sbi %[port], %[bit] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Set the output bit</span>
<span style="background-color: #fff0f0;">".rept %[onCycles] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Now timing actually matters. The 0-bit must be long enough to be detected but not too long or it will be a 1-bit</span>
<span style="background-color: #fff0f0;">"nop </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Execute NOPs to delay exactly the specified number of cycles</span>
<span style="background-color: #fff0f0;">".endr </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
<span style="background-color: #fff0f0;">"cbi %[port], %[bit] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Clear the output bit</span>
<span style="background-color: #fff0f0;">".rept %[offCycles] </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span> <span style="color: #888888;">// Execute NOPs to delay exactly the specified number of cycles</span>
<span style="background-color: #fff0f0;">"nop </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
<span style="background-color: #fff0f0;">".endr </span><span style="background-color: #fff0f0; color: #666666; font-weight: bold;">\n\t</span><span style="background-color: #fff0f0;">"</span>
::
[port] <span style="background-color: #fff0f0;">"I"</span> (_SFR_IO_ADDR(PIXEL_PORT)),
[bit] <span style="background-color: #fff0f0;">"I"</span> (PIXEL_BIT),
[onCycles] <span style="background-color: #fff0f0;">"I"</span> (NS_TO_CYCLES(T0H) - <span style="color: #0000dd; font-weight: bold;">2</span>),
[offCycles] <span style="background-color: #fff0f0;">"I"</span> (NS_TO_CYCLES(T0L) - <span style="color: #0000dd; font-weight: bold;">2</span>)
);
} <span style="color: #888888;">// if</span>
<span style="color: #888888;">// Note that the inter-bit gap can be as long as you want as long as it doesn't exceed the 5us reset timeout (which is A long time)</span>
<span style="color: #888888;">// Here I have been generous and not tried to squeeze the gap tight but instead erred on the side of lots of extra time.</span>
<span style="color: #888888;">// This has thenice side effect of avoid glitches on very long strings becuase</span>
} <span style="color: #888888;">// sendBit()</span>
<span style="color: #888888;">// Neopixel wants bit in highest-to-lowest order</span>
<span style="color: #888888;">// so send highest bit (bit #7 in an 8-bit byte since they start at 0)</span>
<span style="color: #008800; font-weight: bold;">inline</span> <span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">sendByte</span>(<span style="color: #333399; font-weight: bold;">uint8_t</span> byte)
{
<span style="color: #008800; font-weight: bold;">for</span> (<span style="color: #333399; font-weight: bold;">uint8_t</span> bit = <span style="color: #0000dd; font-weight: bold;">0</span>; bit < <span style="color: #0000dd; font-weight: bold;">8</span>; bit++) {
sendBit(byte & <span style="color: #005588; font-weight: bold;">0x80</span>);
byte <<=
<span style="color: #0000dd; font-weight: bold;">1</span>; <span style="color: #888888;">// and then shift left so bit 6 moves into 7, 5 moves into 6, etc</span>
} <span style="color: #888888;">// for</span>
} <span style="color: #888888;">// sendByte()</span>
<span style="color: #888888;">/*</span>
<span style="color: #888888;"> The following three functions are the public API:</span>
<span style="color: #888888;"> ledSetup() - set up the pin that is connected to the string. Call once at the begining of the program.</span>
<span style="color: #888888;"> sendPixel( r g , b ) - send a single pixel to the string. Call this once for each pixel in a frame.</span>
<span style="color: #888888;"> show() - show the recently sent pixel on the LEDs . Call once per frame.</span>
<span style="color: #888888;">*/</span>
<span style="color: #888888;">// Set the specified pin up as digital out</span>
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">sendPixel</span>(<span style="color: #333399; font-weight: bold;">uint8_t</span> r, <span style="color: #333399; font-weight: bold;">uint8_t</span> g, <span style="color: #333399; font-weight: bold;">uint8_t</span> b) {
sendByte(g); <span style="color: #888888;">// Neopixel wants colors in green then red then blue order</span>
sendByte(r);
sendByte(b);
} <span style="color: #888888;">// sendPixel</span>
<span style="color: #888888;">// ----- defines and routines from josh - End -----</span>
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">setupNeopixel</span>() {
bitSet( PIXEL_DDR , PIXEL_BIT );
} <span style="color: #888888;">// setupNeopixel()</span>
<span style="color: #888888;">// read data from the DMX buffer (RGB) and send it to the neopixels...</span>
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">updateNeopixel</span>(<span style="color: #333399; font-weight: bold;">uint8_t</span> *ptr, <span style="color: #333399; font-weight: bold;">uint8_t</span> pixels) {
<span style="color: #333399; font-weight: bold;">uint8_t</span> r, g, b;
<span style="color: #888888;">// no interrupt is welcome.</span>
cli();
<span style="color: #008800; font-weight: bold;">for</span> (<span style="color: #333399; font-weight: bold;">int</span> p = <span style="color: #0000dd; font-weight: bold;">0</span>; p < pixels; p++ ) {
r = *ptr++;
g = *ptr++;
b = *ptr++;
<span style="color: #888888;">// send to Neopixels</span>
<span style="color: #888888;">// sendPixel(r, g , b);</span>
sendPixel(r >> <span style="color: #0000dd; font-weight: bold;">2</span>, g >> <span style="color: #0000dd; font-weight: bold;">2</span>, b >> <span style="color: #0000dd; font-weight: bold;">2</span>);
} <span style="color: #888888;">// for</span>
<span style="color: #888888;">// interrupt may come.</span>
sei();
<span style="color: #888888;">// Just wait long enough without sending any bots to cause the pixels to latch and display the last sent frame</span>
_delay_us((RES / <span style="color: #0000dd; font-weight: bold;">1000UL</span>) + <span style="color: #0000dd; font-weight: bold;">1</span>);
} <span style="color: #888888;">// updateNeopixel()</span>
<span style="color: #888888;">// End</span></pre></div><div style="text-align: left;"><br /></div><div style="text-align: left;">Once you have everything setup you will need to download some DMX software. There is a great deal to choose from. Some of it is very complicated and expensive and some are open source, still complicated but free for fair use.</div><div style="text-align: left;"><br /></div><div style="text-align: left;">I chose to use Q Light Controller Plus. I have heard good things about Xlight and JinX. </div><div style="text-align: left;"><br /></div><div style="text-align: left;">You can down load Q Light Controller Plus from here:</div><div style="text-align: left;"><br /></div><div style="text-align: left;"><a href="https://www.qlcplus.org/downloads.html">https://www.qlcplus.org/downloads.html</a></div><br /><div>Once installed on the operating system of your choice, load up the software:</div><div><br /></div><div>You will be presented with the following screen:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-XmqKxBeFvPI/YSEO0mU6SeI/AAAAAAAAr5U/LDzGvWWXhD8zLARG9Heq-Ud0552KPFKEgCLcBGAsYHQ/s1300/Q%2BLight%2BPlus%2B1st%2BScreen.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1004" data-original-width="1300" height="494" src="https://1.bp.blogspot.com/-XmqKxBeFvPI/YSEO0mU6SeI/AAAAAAAAr5U/LDzGvWWXhD8zLARG9Heq-Ud0552KPFKEgCLcBGAsYHQ/w640-h494/Q%2BLight%2BPlus%2B1st%2BScreen.png" width="640" /></a></div><div><br /></div>Click on the Inputs/Outputs button in the bottom middle of the screen.<div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-aVnQQkWTTsw/YSEPPKtEARI/AAAAAAAAr5c/hvYB6bku-_kOVnQmOKy4M2FNlKwW_UIrwCLcBGAsYHQ/s1300/IO%2BScreen%2B-%2BSelect%2BFT232R%2BUSB.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1004" data-original-width="1300" height="494" src="https://1.bp.blogspot.com/-aVnQQkWTTsw/YSEPPKtEARI/AAAAAAAAr5c/hvYB6bku-_kOVnQmOKy4M2FNlKwW_UIrwCLcBGAsYHQ/w640-h494/IO%2BScreen%2B-%2BSelect%2BFT232R%2BUSB.png" width="640" /></a></div><br /><div>Ensure that DMX USB is selected and that it relates to the USB Dongle. It was already selected for me.</div><div><br /></div><div>Next it is time to add a fixture. Click on the fixtures icon in the bottom left corner. You will be presented with the following screen:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-sfLCVDcOohE/YSETZoYOFlI/AAAAAAAAr5k/AgRtug32q4QxT7EHNuK1BZzWOQ1ZpVdngCLcBGAsYHQ/s1300/Q%2BLight%2BPlus%2Bfixtures%2Bscreen.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1004" data-original-width="1300" height="494" src="https://1.bp.blogspot.com/-sfLCVDcOohE/YSETZoYOFlI/AAAAAAAAr5k/AgRtug32q4QxT7EHNuK1BZzWOQ1ZpVdngCLcBGAsYHQ/w640-h494/Q%2BLight%2BPlus%2Bfixtures%2Bscreen.png" width="640" /></a></div><br /><div>Click on the green '+' Icon in the top left corner to add a DMX controlled lighting fixture.</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-PFpCine6i80/YSEUM3EIN5I/AAAAAAAAr5s/N2C4Em0DGBAWcUe_rI3F8HXn8gKdGgJhQCLcBGAsYHQ/s1264/Q%2BLight%2BPlus%2BAdd%2Ba%2BFixture%2BScreen.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="985" data-original-width="1264" height="498" src="https://1.bp.blogspot.com/-PFpCine6i80/YSEUM3EIN5I/AAAAAAAAr5s/N2C4Em0DGBAWcUe_rI3F8HXn8gKdGgJhQCLcBGAsYHQ/w640-h498/Q%2BLight%2BPlus%2BAdd%2Ba%2BFixture%2BScreen.png" width="640" /></a></div><br /><div>I called mine 'LED_strip' but yours can be anything you wish. I chose 90 channels as that is what was set in the code and I have 30 NeoPixels on my strip. Each colour = one channel. I noticed that Q Light Plus can only control 99 channels in one universe...Start from address 1 as there is only one fixture and therefore quantity = 1 and address gap = 0.</div><div><br /></div><div>Anyway...Click OK and then Click on the Simple Desk button in the bottom middle of the screen. The following window will be presented:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-NUJa99WKR9M/YSEXA68xkvI/AAAAAAAAr50/stt7YjBvUmgHPZRC3Is-a6exQzyRfyP2QCLcBGAsYHQ/s1300/Q%2BLight%2BPlus%2BSimple%2BDesk.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1004" data-original-width="1300" height="494" src="https://1.bp.blogspot.com/-NUJa99WKR9M/YSEXA68xkvI/AAAAAAAAr50/stt7YjBvUmgHPZRC3Is-a6exQzyRfyP2QCLcBGAsYHQ/w640-h494/Q%2BLight%2BPlus%2BSimple%2BDesk.png" width="640" /></a></div><br /><div>Now we are getting somewhere! If you look at the display it looks quite like an audio mixing desk and in a way it is...just for lights...If you increase the slider on channel 1 you should see your first LED light up and it will be RED. If you were to increase channel 2 It would light up up the GREEN LED in the first NeoPixel. Channel 3 = BLUE LED etc. The big red slider at the left hand side of the screen controls the master brightness.</div><div><br /></div><div>Here is what I did to quickly test things:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/--0OPQ97RfZk/YSEZaDYOOVI/AAAAAAAAr58/ropVYKaL71IGXAAar9C7CjH87rPax-NEgCLcBGAsYHQ/s1300/Messing%2Bwith%2BSliders.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1004" data-original-width="1300" height="494" src="https://1.bp.blogspot.com/--0OPQ97RfZk/YSEZaDYOOVI/AAAAAAAAr58/ropVYKaL71IGXAAar9C7CjH87rPax-NEgCLcBGAsYHQ/w640-h494/Messing%2Bwith%2BSliders.png" width="640" /></a></div><br /><div>It gives the following responses on the LED strip:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-mLvJBW4FI7E/YSEZlP1Tf3I/AAAAAAAAr6A/psTZpwlDjFEj6aAp91xTwOyoypVbWioTQCLcBGAsYHQ/s1920/DMX%2Btesting%2Bwith%2BQ%2BLight%2BPLUS.jpeg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1080" data-original-width="1920" height="360" src="https://1.bp.blogspot.com/-mLvJBW4FI7E/YSEZlP1Tf3I/AAAAAAAAr6A/psTZpwlDjFEj6aAp91xTwOyoypVbWioTQCLcBGAsYHQ/w640-h360/DMX%2Btesting%2Bwith%2BQ%2BLight%2BPLUS.jpeg" width="640" /></a></div><br /><div> That is about as much as I currently know on how to control Q Light Plus! There are a million tutorials available however and a very active forum. Go check them out!</div><div><br /></div><div>I also had a quick play with JinX which can be downloaded from here:</div><div><br /></div><div><a href="http://www.live-leds.de/downloads/" target="_blank">http://www.live-leds.de/downloads/</a><br /></div><div><br /></div><div>I'm not going to go into how it works as the manual is OK but here is a quick video showing it in action:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen='allowfullscreen' webkitallowfullscreen='webkitallowfullscreen' mozallowfullscreen='mozallowfullscreen' width='320' height='266' src='https://www.blogger.com/video.g?token=AD6v5dwg2DBnf79HbwAZwSA7-RFNAUF8JyHDS1JHHhMr8aXR1bprvsbBNAaXx0H8b8scvpCXpCJQJuT5MNlzIaFn8Q' class='b-hbp-video b-uploaded' frameborder='0'></iframe></div><br /><div>That's all for now. The next post will be about how I designed an isolated DMX shield and go into how the code works and probably a bit more on how to use DMX software. I need to research more into the software and setting up scenes etc.</div><div><br /></div><div>Take care everyone - Langster!</div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-42944598472648584502020-12-13T15:48:00.000+00:002020-12-13T15:48:36.494+00:00How to design a simple LED CircuitI am out of practice at many things it would seem at the moment...<br />
<br />
I recently had to verify a colleague's design and honestly failed miserably. Things that I consider basic in terms of electronics I didn't see and couldn't quickly do. <br />
To that end let us start from a simple area and attempt to cover as many aspects as possible...at least that way I will hopefully improve in my skills and prevent others from making similar mistakes.<br />
<br />
I'm going to show a simple circuit that I was presented with...I'll be honest I struggle to visualise circuit operation from a schematic...it's probably why I struggle with the task of assessing designs. <br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhhmdyAUQHUhRKANcqBjE3vzCPOIZ2U9M1vyo2I2_uumd50NR_-2hBHqsVKKleskrso-FblrokR9XykfbSjDZMPoVkD10Y1UbMiAZqJGpkv18_5HY1_8ikuINXzpqvkL4u54gRfjlqkHEc/s1600/Poorly+Designed+Circuit.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="687" data-original-width="951" height="462" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhhmdyAUQHUhRKANcqBjE3vzCPOIZ2U9M1vyo2I2_uumd50NR_-2hBHqsVKKleskrso-FblrokR9XykfbSjDZMPoVkD10Y1UbMiAZqJGpkv18_5HY1_8ikuINXzpqvkL4u54gRfjlqkHEc/s640/Poorly+Designed+Circuit.png" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Simple LED Circuit</td></tr>
</tbody></table>
<br />
Lets try to analyze the circuit and explain how it works. The circuit's function is to indicate which position the switch SW1 is in to the operator. We have two different light emitting diodes (LEDS) connected via (SW1) a double pole single throw switch to a 5 Vdc supply with two 4k7 Ohm resistors and a 10 k Ohm Resistor.<br />
<br />
When the switch (SW1) is in the position shown above LED D2 is supposed to be illuminated and a signal (at 5 Vdc) is also passed back to a microcontroller GPIO configured as an input (not shown here) via the node labelled SW1. When the switch is in the alternative position the LED D1 is supposed to be illuminated and at the same time a signal or rather lack of signal (0 Vdc) is passed back to the microcontroller GPIO configured as an input. <br />
<br />
This method of connecting LEDS is known as reverse parallel - I'd actually not heard the term before...I had seen it before but not had it described that way...<br />
<br />
So why is this circuit not particularly well designed? There are a couple of reasons...which I'll be honest I did not pick up on during my assessment. I made assumptions that the designer had performed calculations and checks to ensure the values selected were correct. <br />
<br />
The first reason is with respect to current. In order to cause an LED to go into illumination normally at least 16 mA of current is required. How much current is flowing in the circuit with respect to D2?<br />
<br />
Well the forward volt drop of the LED D2 is not stated so we don't actually know...lets fix that by supplying the datasheet:<br />
<br />
<a href="https://docs.rs-online.com/09db/0900766b812d38b8.pdf" target="_blank">C503B-BCS-CV0Z0461 Blue Light emitting diode datasheet</a><br />
<br />
D2 is a 5 mm through hole LED with a wavelength of 470 nm. It has a typical forward voltage (V<span style="font-size: xx-small;">F</span>) of 3.2 Vdc when the forward current is at 20 mA.<br />
<br />
We can now perform a simple calculation:<br />
<br />
(Supply voltage - Diode forward voltage) / Resistor (R2) = Current flowing in LED D2 part of the circuit<br />
<br />
(5 Volts - 3.2 Volts) / 4700 Ohms = 0.00038297872 A or 383 µA (micro-Amperes)<br />
<br />
In order to get an LED to illuminate at normal brightness there should be milli-Amperes - I normally aim for 16 mA. So in the circuit shown above with the switch in this position the LED D2 will not be illuminating brightly...I suspect it won't even be visible to the human eye.<br />
<br />
The same issue is present with LED D1, here is the datasheet:<br />
<br />
<a href="http://www.farnell.com/datasheets/67145.pdf?_ga=2.210028885.1388116428.1587415681-72848089.1566819442" target="_blank">L-53SYD Yellow Light Emitting Diode Datasheet</a><br />
<br />
D1 is a 5 mm through hole LED with a wavelength of 590 nm. It has a typical forward voltage (V<span style="font-size: xx-small;">F</span>) of 2 Vdc when the forward current is at 20 mA.<br />
<br />
(Supply voltage - Diode forward voltage) / Resistor (R1) = Current flowing in LED D1 part of the circuit<br />
<br />
(5 Volts - 2 Volts) / 4700 Ohms = 0.00063829787 A or 638 µA (micro-Amperes) <br />
<br />
If again the aim was to illuminate the LED D1 (16 mA) then when the switch (SW1) is in the alternative positon the LED D1 will not be illuminated brightly at all...<br />
<br />
So how do we resolve this issue? We could try changing the resistor values (R1 and R2) for a lower value...<br />
<br />
The standard formula for calculating the current limiting resistor for an LED is:<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) = [Supply Voltage (V<span style="font-size: xx-small;">S</span>) - Diode Forward Voltage (V<span style="font-size: xx-small;">F</span>)] / Diode Forward Current (I<span style="font-size: xx-small;">F</span>)<br />
Supply Voltage (V<span style="font-size: xx-small;">S</span>) = 5 Vdc<br />
Diode Forward Voltage (V<span style="font-size: xx-small;">F</span>) = 3.2 Vdc (Blue LED)<br />
Diode Forward Current (I<span style="font-size: xx-small;">F</span>) = 16 * 10^-3 Amps or 16 mA<br />
<br />
Therefore:<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) in this case R2 = (5 Volts - 3.2 Volts) / 16 *10^-3 Amps<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) = 112.5 Ohms for the Blue LED current limiting resistor.<br />
<br />
For the current limiting resistor for D1 we have:<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) in this case R1 = (5 Volts - 2 Volts) / 16 *10^-3 Amps<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) = 187.5 Ohms for the Yellow LED current limiting resistor.<br />
<br />
As 112.5 Ohms and 187.5 Ohms are not standard values for resistors one would probably use a 100 Ohm resistor and a 180 Ohm resistor.<br />
<br />
The other calculation that should be made when designing circuits with resistors present is to ensure that the resistor power rating is suitable for the amount of power that will be present in the circuit. Resistor life-time is reduced and unnecessary heat is generated when too much power is conducted through resistors.<br />
<br />
The formula for calculating the power in a component is an application of Ohms Law:<br />
<br />
Power (Watts) = Voltage (V) * Current (I) or<br />
<br />
Power (Watts) = (Current * Current) * Resistance or<br />
<br />
Power (Watts) = (Voltage * Voltage) / Resistance<br />
<br />
We can apply any version of Ohms law to calculate the information required. I have decided to use<br />
<br />
Power (Watts) = (Current * Current) * Resistance<br />
<br />
Power (Watts) in R1 = (16*10^-3 * 16*10^-3) * 180 Ohms<br />
<br />
Power (Watts) in R1 = 0.04608 Watts or 46.08 mW<br />
<br />
Power (Watts) in R2 = (16*10^-3 * 16*10^-3) * 100 Ohms<br />
<br />
Power (Watts) in R2 = 0.0256 Watts or 25.6 mW<br />
<br />
So to ensure that the power rating for the resistors is correct we should use 100 mW or quarter watt (250 mW) rated resistors.<br />
<br />
There are still issues with the circuit as shown above however; The circuit is kind of wasteful...When the switch is in the position shown above there will always be current flowing in resistor R1 even though LED D1 is not illuminated. With the switch in the opposite position the resistor R2 will still have current flowing through it even though LED D2 will not be illuminated. Why have current flowing in a resistor for no purpose...It would be better to redraw the circuit in a different way but still achieve the same circuit function.<br />
<br />
It would also (in my opinion) be better to redraw the circuit and do away with the reverse parallel LED connections...mostly because I find it hard to visualise the circuit...<br />
<br />
Here is the circuit which was redesigned by my colleague having had some feedback (not from me):<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-91XpX82hJr8/Xp4WFxVIv4I/AAAAAAAAkIs/tHkftvlxmmgp6yJ4FUpzafwaGOrKif3qwCLcBGAsYHQ/s1600/Better%2BDesigned%2BLED%2BCircuit.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="642" data-original-width="762" height="538" src="https://1.bp.blogspot.com/-91XpX82hJr8/Xp4WFxVIv4I/AAAAAAAAkIs/tHkftvlxmmgp6yJ4FUpzafwaGOrKif3qwCLcBGAsYHQ/s640/Better%2BDesigned%2BLED%2BCircuit.png" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Improved Circuit Design</td></tr>
</tbody></table><br /><div>
The circuit's function is still to indicate which position the switch SW1 is in to the operator. We have a 5 Vdc supply connected to a blue LED (D2) which in turn is connected to a 120 Ohm resistor (R1) and via (SW1) a double pole single throw switch, to ground completing the circuit. With the switch SW1 in the alternative position we have the 5 Vdc supply connected to a yellow LED (D1) which is in turn connected to resistor (R2) and via switch SW1, to ground completing the circuit.<br />
<br />
A signal is also passed back to a microcontroller GPIO configured as an input (not shown here) via the node labelled Controller SW1. When the switch is in the first position the LED D2 is illuminated and at the same time a signal (5 Vdc) through resistors R3 (10 k Ohms) and R58 (1 k Ohms) is passed back to the microcontroller GPIO input. <br />
<br />
When the switch (SW1) is in the second position LED D1 is illuminated and the signal passed back to the microcontroller is 0 Vdc as the R3 (10 k Ohms) and R58 (1 k Ohms) are now connected to ground as well as the microcontroller GPIO input as the input impedance of a GPIO on a microcontroller is normally 100 k Ohms. It is a standard method of reading the state of a switch position with a microcontroller.<br />
<br />
The current limit on each of the LEDS can be calculated for completeness:<br />
<br />
Current limit in LED D1 (Yellow LED) = (Supply voltage - Diode forward voltage) / Resistor (R2)<br />
<br />
(5 Volts - 2 Volts) / 560 Ohms = 0.00535714286 A or 5.357 mA (milli-Amperes)<br />
<br />
Current limit in LED D2 (Blue LED) = (Supply voltage - Diode forward voltage) / Resistor (R1)<br />
<br />
(5 Volts - 3.2 Volts) / 120 Ohms = 0.015 A or 15 mA (milli-Amperes)<br />
<br />
It seems a little odd to me that the value of R2 was chosen to be 560 Ohms...that seems a little high and will cause the yellow LED to be less visible when in operation...<br />
<br />
The power rating for the resistors can also be recalculated:<br />
<br />
Power (Watts) in R2 = (5.357*10^-3 * 5.357*10^-3) * 560 Ohms<br />
<br />
Power (Watts) in R2 = 0.01607057144 Watts or 16 mW (milli-Watts)<br />
<br />
Power (Watts) in R1 = (15*10^-3 * 15*10^-3) * 120 Ohms<br />
<br />
Power (Watts) in R1 = 0.027 Watts or 27 mW (milli-Watts)<br />
<br />
Therefore a 100 mW rated or 250 mW rated resistor would be ok to use in either position...<br />
<br />
As the circuit has been redesigned there are no conditions where unnecessary current is flowing in any of the resistors and we still have the circuit function needed...When the circuit is constructed it should work perfectly.<br />
<br />
When designing LED circuits from now on I will always try and do the following:<br />
<br />
1. Obtain the datasheets for any and all components to be used. For LEDS pay particular attention the electrical characteristics: Forward Voltage (V<span style="font-size: xx-small;">F</span>), Forward Current (I<span style="font-size: xx-small;">F</span>), maximum voltage (V<span style="font-size: xx-small;">MAX</span>) and maximum power.<br />
<br />
2. Calculate current limiting resistor needed for the appropriate brightness by reading the datasheet and obtaining a figure for the current at the appropriate brightness...it may be shown in a graph. Use the formula:<br />
<br />
Current Limiting Resistor (R<span style="font-size: xx-small;">limit</span>) (Ohms) = [Supply Voltage (V<span style="font-size: xx-small;">S</span>) - Diode Forward Voltage (V<span style="font-size: xx-small;">F</span>)] / Diode Forward Current (I<span style="font-size: xx-small;">F</span>)<br />
<br />
3. Calculate the power flowing through the current limiting resistor and select a suitably rated component.<br />
<br />
4. Choose a suitable resistor tolerance...in this case a 5% resistor will probably be fine.<br />
<br />
4. Get a friend or colleague to check your circuits <slight smile>.<br />
<br />
5. It often helps to simulate circuits but only when the correct information is provided. It is possibly better to perform the calculations on paper as it will enforce research into the requirements.<br />
<br />
6. Make sure the circuit meets the requirements...If the requirements aren't known then set them before attempting to perform the design...<br />
<br />
In writing and researching this blog post I looked at the following website for inspiration:<br />
<br />
<a href="https://www.ngineering.com/led_circuits.htm">https://www.ngineering.com/led_circuits.htm</a><br />
<br />
Apologies for the long post - hope this was helpful - Langster! </div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0Manchester, UK53.4807593 -2.242630553.329540300000005 -2.565354 53.6319783 -1.9199070000000003tag:blogger.com,1999:blog-1118055223601902892.post-4235529027688541952020-07-28T19:51:00.000+01:002020-07-28T19:51:15.861+01:00Product Review - Seeed Studio's new STEAM Grove Beginner Kit For ArduinoI was recently contacted by Seeed Studio to see if I would be happy to review their new Grove Beginner Kit for the Arduino. I have been a customer of Seeed Studio in the past and I'm always happy to review kit. <div><span style="color: blue; font-family: inherit;"><br /><a href="http://www.seeedstudio.com/" style="font-family: inherit;">About Seeed Studio</a></span></div><div>
<p align="left" class="MsoNormal" style="mso-pagination: widow-orphan; text-align: left;"><font face="inherit"><span style="color: black;">Seeed is the IoT
hardware enabler providing services over 10 years that empower makers to
realize their projects and products. Seeed offers a wide array of hardware
platforms and sensor modules ready to be integrated with existing IoT platforms
and one-stop </span><a href="https://www.seeedstudio.com/fusion_pcb.html"><span style="color: blue;">PCB fabrication</span></a><span style="color: black;"> and </span><a href="https://www.seeedstudio.com/prototype-pcb-assembly.html"><span style="color: blue;">PCB assembly
service</span></a><span style="color: black;">. Seeed Studio
provides a wide selection of electronic parts including </span><a href="https://www.seeedstudio.com/category/Arduino-c-1001.html"><span style="color: blue;">Arduino</span></a><span style="color: black;"> </span><a href="https://www.seeedstudio.com/Raspberry-pi-c-1010.html"><span style="color: blue;">Raspberry Pi</span></a><span style="color: black;"> and many
different development board platforms Especially the </span><span style="color: blue;"><a href="https://www.seeedstudio.com/grove.html">Grove System</a>,</span><span style="color: black;"> which help engineers and makers to avoid
jumper wire problems and connectivity issues. Seeed Studio has developed more than 280 Grove modules
covering a wide range of applications that can fulfil a variety of
needs. <o:p></o:p></span></font></p>DISCLAIMER: I have not been paid to write this review, however I was sent the product free of charge. My comments and opinions are my own, based upon my experience. I am not affiliated or paid by Seeed Studio or anyone else to review products.</div><div><br /></div><div>The Grove Beginner Kit For Arduino was sent to me via DHL in three days! I was contacted to see if I would be happy to perform the review on Wednesday and placed my order Thursday and had the product in my hands the following Monday. If nothing else, Seeed Studio's shipping department are excellent as are DHL!</div><div><br /></div><div>First impressions of the packaging are excellent and as to be expected from Seeed. I particularly liked to the code reference on the inside of the lid and the QR code to the tutorials page on the back.</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-clDL8d3KZA4/XxiEW6hT6dI/AAAAAAAAmAE/J-jvWlM6lGcrApbkN7oKONgO0aH8WIXlgCLcBGAsYHQ/s2048/20200721_203431.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1152" data-original-width="2048" src="https://1.bp.blogspot.com/-clDL8d3KZA4/XxiEW6hT6dI/AAAAAAAAmAE/J-jvWlM6lGcrApbkN7oKONgO0aH8WIXlgCLcBGAsYHQ/s320/20200721_203431.jpg" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The Top view of the Packaging</td></tr></tbody></table><div class="separator" style="clear: both; text-align: center;"><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-lGc4v5cCxc0/XyAo00Yc5uI/AAAAAAAAmDA/bK__2B3aLgAD21DODC_TsTNFrv2NZJJbQCLcBGAsYHQ/s2048/20200721_203513.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1703" data-original-width="2048" src="https://1.bp.blogspot.com/-lGc4v5cCxc0/XyAo00Yc5uI/AAAAAAAAmDA/bK__2B3aLgAD21DODC_TsTNFrv2NZJJbQCLcBGAsYHQ/s320/20200721_203513.jpg" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The inside of the box and the PCB itself.</td></tr></tbody></table><br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-UbkH4HRXuns/XyAo03byrCI/AAAAAAAAmC8/5QQasiOKf_8v06nARfOmz9fChLa8x5gEwCLcBGAsYHQ/s2048/20200721_203526.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1404" data-original-width="2048" src="https://1.bp.blogspot.com/-UbkH4HRXuns/XyAo03byrCI/AAAAAAAAmC8/5QQasiOKf_8v06nARfOmz9fChLa8x5gEwCLcBGAsYHQ/s320/20200721_203526.jpg" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The back of the box.</td></tr></tbody></table><br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-TqppKy_M3iY/XyAo0qqID5I/AAAAAAAAmC4/uES0RUDiJTAPlc7nD10cqIlUOqIKLgsrwCLcBGAsYHQ/s2048/20200721_203820.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1546" data-original-width="2048" src="https://1.bp.blogspot.com/-TqppKy_M3iY/XyAo0qqID5I/AAAAAAAAmC4/uES0RUDiJTAPlc7nD10cqIlUOqIKLgsrwCLcBGAsYHQ/s320/20200721_203820.jpg" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The Grove beginner kit and the external modules.</td></tr></tbody></table><div class="separator" style="clear: both; text-align: center;"><br /></div><div class="separator" style="clear: both; text-align: left;">Lets power up the board and see what it does 😀</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The board is powered from a microUSB connector on the arduino compatible PCB in the centre of the board.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">As soon as the board is powered up the test sketch that is already present in the microcontroller drives the small OLED display connected via I2C in the middle left section of the board and reads the signal from the light sensor in the top right section of the board, connected to the A6 analogue input. It gives confidence that the components are all working immediately. In ambient light the display showed a value of 326 and when the sensor was covered the reading was 15. Excellent visual feedback.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">In a very unusual step for me I then went to find the instructions! As an engineer I normally just like to connect everything up and start messing with things until I get stuck but in this case I went straight to Seeed's product page:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://www.seeedstudio.com/Grove-Beginner-Kit-for-Arduino-p-4549.html" target="_blank">Grove Beginner Kit Product Page</a><br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">From reading the page quickly it has links to all of the information concerning the Grove beginner kit so I won't reproduce the information here. If you need to know how one of the modules is connected or require the schematic diagram and technical information it's all on this page.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The page recommended new users to look at the Geppetto online editing environment which I'll be honest I had not heard about. Here is the web address:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://geppetto.seeed.neostix.com/#!/dashboard/" target="_blank">https://geppetto.seeed.neostix.com/#!/dashboard/</a><br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">It looks like a way of configuring different Grove Sensor modules and helpfully there is a pre-made template for the Grove Beginner Kit.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">I also found the wiki for the Grove Beginner Kit:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://wiki.seeedstudio.com/Grove-Beginner-Kit-For-Arduino/">https://wiki.seeedstudio.com/Grove-Beginner-Kit-For-Arduino/</a></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Having read through the Wiki it gives more information about the unboxing demo. By pressing the button in the bottom left corner it is possible to see the LED in the top left corner light up. By pressing and holding the button you can see the LED flash at different rates.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">By pressing and holding the button for a long period of time the OLED display changes state and displays the current demonstration program. By manipulating the potentiometer you can scroll through the various tests and see each device tested which is very useful.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The sound test didn't seem to do much although it did give an audible bleep from a long button press. Having played with it a little further, the demonstration is taking input from the electret microphone and providing a volume level output on the OLED Display. By tapping the microphone you can see the sound level change considerably.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The temperature and humidity test worked well and gave the current temperature and humidity on the OLED display. Heating up the blue sensor did make the temperature change.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The pressure sensor test did give a readout although I think I need to calibrate mine as it gave a reading of 100830.00 Pa which would make my house somewhere on the side of a mountain which isn't true!</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The accelerometer sensor test program worked and the animation on the OLED display was very cool. Again I think calibration is in order but just getting a response straight away is very useful.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Demonstration programs are all very well and useful for proving function but what I always look for in a development board is how I can use it. In particular I want to be able to learn how to use the sensors breakouts to my own requirements.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The wiki shows that the board can be used easily with the arduino IDE. That's good as I have the IDE already installed and ready to go. </div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">I loaded up the Arduino IDE and selected the Arduino Uno as my board and selected the COM port which was already detected - I didn't need to install any drivers as Windows had already installed everything for me. </div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Looking at the Wiki page I found the pre-written code for flashing the LED once a second. I cut and paste the code straight into the IDE and pressed upload. Within seconds the LED was flasing every second, as expected.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: center;"><iframe allowfullscreen='allowfullscreen' webkitallowfullscreen='webkitallowfullscreen' mozallowfullscreen='mozallowfullscreen' width='320' height='266' src='https://www.blogger.com/video.g?token=AD6v5dxpYOhpfL4ghGNdXpk_faLpQVGl2ESWdddzXyaQFjYOB0KyaXWlKIvZ9tuS5qIqCHYMl6Lmz_jcUzAHRW09XA' class='b-hbp-video b-uploaded' frameborder='0'></iframe></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">There are several other examples already written and ready to go.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Basically if I were looking to teach basic electronics and how to interface sensor breakout boards with an arduino uno compatible micro-controller I would purchase several of these boards. The examples provided work perfectly and unlike separate modules and development boards nothing can be easily lost or removed. One of the biggest complaints from teachers and students with teaching electronics is that parts go missing and get lost or broken or tidying up after the class takes as long as teaching the class. With this system both of those problems are solved. The price of the board at $19.90 or £15.38 is incredibly reasonable. I don't really have any negative comments to make about this product and that is incredibly unusual for me!</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">This is a bit of a change from my usual posts but hopefully someone will find this useful - take care everyone - Langster!</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com1tag:blogger.com,1999:blog-1118055223601902892.post-61250389050993587032020-06-07T21:09:00.004+01:002020-12-13T15:39:49.141+00:00More work with the Venturi Tube (Mark 4)<div>In order to test the latest venturi tube properly I have had to recalculate the areas of the different tube sections. I performed several calculations to find out the areas of the first and second sections of the tube.</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-pWXM2QjAjCI/Xt0ShSbysYI/AAAAAAAAlAE/GzC35DMXenQlO7zjJJkJ_b4bTOi6INWmgCK4BGAsYHg/s742/calculate%2Bareas.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="220" data-original-width="742" height="190" src="https://1.bp.blogspot.com/-pWXM2QjAjCI/Xt0ShSbysYI/AAAAAAAAlAE/GzC35DMXenQlO7zjJJkJ_b4bTOi6INWmgCK4BGAsYHg/w640-h190/calculate%2Bareas.png" title="2D cross sectional view of the Venturi Tube Mark 4" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">2D Cross Section of the Venturi Tube Design (Mark 4)<br /></td></tr></tbody></table><div><br /></div><div>The blue shaded area is a cylinder. The formula for calculating the cross sectional area of a cylinder is:</div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?Area%28mm%5E%7B2%7D%29%3D%5Cpi%20%5Ccdot%20r%5E%7B2%7D%5Ccdot%20l" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /> </div><div><br /></div><div>The area of the blue shaded section is therefore:</div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?Area%28mm%5E%7B2%7D%29%3D%5Cpi%20%5Ccdot%2013%5E%7B2%7D%5Ccdot%2026.1" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?Area%28mm%5E%7B2%7D%29%3D%2013859.0478" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></div><div><br /></div><div>Which in metres is 0.0138590478.</div><div><br /></div><div>The area of the red shaded section is a conical frustrum. The formula for calculating the area of a conical frustrum is (really complicated!) :</div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?Area%28mm%5E%7B2%7D%29%3D%5Cpi%20%5Ccdot%20%5Cleft%20%28%20r_1%5E%7B2%7D%20&plus;r_2%5E%7B2%7D%20&plus;%20%28r_1&plus;r_2%29%5Ccdot%20s%20%5Cright%20%29" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /> </div><div><br /></div><div>I used an online calculator:</div><div><a href="https://www.calculatorsoup.com/calculators/geometry-solids/conicalfrustum.php" target="_blank"><br /></a></div><div><a href="https://www.calculatorsoup.com/calculators/geometry-solids/conicalfrustum.php" target="_blank">https://www.calculatorsoup.com/calculators/geometry-solids/conicalfrustum.php</a></div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?Area%28mm%5E%7B2%7D%29%3D%201898.6256693642" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /> </div><div><br /></div><div>Which in metres is 0.00189862567</div><div><br /></div><div>The red and blue shaded areas combined make up A1:</div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?A_1%28m%5E%7B2%7D%29%3D%200.0138590478%20&plus;%200.00189862567" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /> </div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?A_1%28m%5E%7B2%7D%29%3D%200.00328453045" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></div><div><br /></div><div>The grey shaded section is a cylinder. Its cross sectional area (A2) is therefore:</div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?A_2%28mm%5E%7B2%7D%29%3D%20%5Cpi%20%5Ccdot%204.5%5E%7B2%7D%5Ccdot%2018" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?A_2%28mm%5E%7B2%7D%29%3D%201145.259" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /></div><div><br /></div><div><img id="equationview" name="equationview" src="https://latex.codecogs.com/gif.latex?A_2%28m%5E%7B2%7D%29%3D%200.001145259" title="This is the rendered form of the equation. You can not edit this directly. Right click will give you the option to save the image, and in most browsers you can drag the image onto your desktop or another program." /> </div><div><br /></div><div>We can now apply the formula for the venturi tube:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%20%3D%20A_%7B1%7D.%5Csqrt%7B%5Cfrac%7B2%7D%7B%5Cmu%7D.%5Cfrac%7BP_%7B1%7D-P_%7B2%7D%7D%7B%28%5Cfrac%7BA_%7B1%7D%7D%7BA_%7B2%7D%7D%29%5E%7B2%7D-1%7D%7D%3D%20A_%7B2%7D.%5Csqrt%7B%5Cfrac%7B2%7D%7B%5Cmu%7D.%5Cfrac%7BP_%7B1%7D-P_%7B2%7D%7D%7B1-%28%5Cfrac%7BA_%7B2%7D%7D%7BA_%7B1%7D%7D%29%5E%7B2%7D%7D%7D" /></div><div><br /></div><div> </div><div>We will of course just insert these values into the previously written arduino code.</div><div><br /></div><div>One of the requirements for this project is to display real time graphs on a small graphical display. The display I intend to develop with has not arrived yet. In preparation I thought it would be a good idea to look at using Python and matplotlib.</div><div><br /></div><div>I have been playing with Python and installed Python 3.8.2 and got it added to my path (Windows 10). I then installed the matplotlib (library for plotting graphs) and watched a few youtube videos and read some tutorials.</div><div><br /></div><div>I need to create these graphs:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-arYAoRVBgaU/Xt05QTfli_I/AAAAAAAAlAg/it3CQ0dWFQ4yebgY_rmIndYflq84vGMgwCK4BGAsYHg/s1080/Spirometer%2Bgraphs%2Bexample.jpeg" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="626" data-original-width="1080" height="231" src="https://1.bp.blogspot.com/-arYAoRVBgaU/Xt05QTfli_I/AAAAAAAAlAg/it3CQ0dWFQ4yebgY_rmIndYflq84vGMgwCK4BGAsYHg/w400-h231/Spirometer%2Bgraphs%2Bexample.jpeg" width="400" /></a></div><div><br /></div><div>I have the data being delivered from the sensor so this should not be too difficult to achieve. Helpfully the graphs have scales and axes...</div><div><br /></div><div>Many people have been requesting access to the 3D print design files:</div><div><br /></div><div><a href="https://drive.google.com/drive/folders/1k0qGE02V4vNY91AUSaYQCAFxW8NmvOt1?usp=sharing" target="_blank">Venturi Mark 4 Design Files</a><br /></div><div><br /></div><div>Well that is enough for now - Take care...Langster!<br /></div><br />Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-45265844269051696832020-06-06T19:17:00.001+01:002020-10-15T19:34:14.164+01:00Testing the new venturi tube (Mark 4)<div>After printing the new venturi tube with internal conical sections I have removed the support material and attempted to use it. There are some design issues which need attention. I made the pressure port pieces too small and have had to improvise with a couple of plastic M4 nuts glued on. To be honest that seems to work quite well so I might do that again as its easier that printing connection pieces.</div><div><br /></div><div>I have guessed at the new internal dimensions within the firmware code as I am unsure of how the formula applies to the conical sections and I cannot find any information as to how to proceed. Rather than go through all the calculations again I have updated the firmware from my earlier post and performed a quick test.</div><div><br /></div><div>For documentation purposes I have set the code with the internal dimensions:</div><div><br /></div><div>A1 = 0.01455 m^3</div><div>A2 = 0.001145 m^3<br /></div><div><br /></div><div>Here are the results:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-9I8c5-t6Bmg/XtuUipfnJbI/AAAAAAAAk78/lqRkNvAH_Yo8Qz9YJxsuNkJlvkQz6_C1wCK4BGAsYHg/s2344/Raw%2BData%2Bgraph%2Bventuri%2BMark%2B4.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1676" data-original-width="2344" height="458" src="https://1.bp.blogspot.com/-9I8c5-t6Bmg/XtuUipfnJbI/AAAAAAAAk78/lqRkNvAH_Yo8Qz9YJxsuNkJlvkQz6_C1wCK4BGAsYHg/w640-h458/Raw%2BData%2Bgraph%2Bventuri%2BMark%2B4.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Raw Data from the ADC - Gain setting 1, positive = inhalation, negative = exhalation<br /></td></tr></tbody></table><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-uxeJAllg5DA/XtuU0xV0a_I/AAAAAAAAk8Q/WK21TzHJ_PUPNMFy1v1i5sQHgEzfpvlfgCK4BGAsYHg/s2344/Differential%2BPressure%2BVenturi%2BMark%2B4.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1676" data-original-width="2344" height="458" src="https://1.bp.blogspot.com/-uxeJAllg5DA/XtuU0xV0a_I/AAAAAAAAk8Q/WK21TzHJ_PUPNMFy1v1i5sQHgEzfpvlfgCK4BGAsYHg/w640-h458/Differential%2BPressure%2BVenturi%2BMark%2B4.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Differential Pressure - much improved sensitivity<br /></td></tr></tbody></table><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/--tRiW0MH7vs/XtuVFBgeGrI/AAAAAAAAk8k/rbLoqr0f4UUjIbqTC5w-o1LI4pz0GvA2QCK4BGAsYHg/s2344/Volumetric%2BFlow%2Bventuri%2BMark%2B4.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1676" data-original-width="2344" height="458" src="https://1.bp.blogspot.com/--tRiW0MH7vs/XtuVFBgeGrI/AAAAAAAAk8k/rbLoqr0f4UUjIbqTC5w-o1LI4pz0GvA2QCK4BGAsYHg/w640-h458/Volumetric%2BFlow%2Bventuri%2BMark%2B4.png" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;"><br /></td></tr></tbody></table><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-fpVguwqziVg/XtuVShpstHI/AAAAAAAAk8w/eVP6-zXAp6oqqggfkjR33CqOSsF3VRvcQCK4BGAsYHg/s2344/Velocity%2Bof%2Bflow%2Bventuri%2Bmark%2B4.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1676" data-original-width="2344" height="458" src="https://1.bp.blogspot.com/-fpVguwqziVg/XtuVShpstHI/AAAAAAAAk8w/eVP6-zXAp6oqqggfkjR33CqOSsF3VRvcQCK4BGAsYHg/w640-h458/Velocity%2Bof%2Bflow%2Bventuri%2Bmark%2B4.png" width="640" /></a></div><div>The results seem to be much better than the last attempt. The graphs clearly show inhalation and exhalation and the sensitivity is much improved. I suspect that with a calibrated pump the accuracy could be improved further. <br /></div><div><br /></div><div>As I don't have a calibrated air pump my intention is to improvise with a balloon and a syringe body...</div><div><br /></div><div>I have a 25 ml syringe body. I wish I had a bigger volume one but they are very expensive for some reason. I will fill a balloon with air from the syringe until I have a litre of air. I will then release the balloon air through the tube and monitor the results on the volumetric flow graph. If I get 1000 m/s I have a litre per second of air flow. I would settle for something close. Another method would be to obtain a calibrated air flow meter and use that to compare to what I have made...however I would still need a uniform volume of air to test and compare with.<br /></div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-oYtC-5iIagE/Xtuh3r9CzeI/AAAAAAAAk-I/6baG6WlEUOYYJzgs8gR-3F7du_M5j48VwCK4BGAsYHg/s804/Latest%2BSetup.png" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="804" data-original-width="768" height="640" src="https://1.bp.blogspot.com/-oYtC-5iIagE/Xtuh3r9CzeI/AAAAAAAAk-I/6baG6WlEUOYYJzgs8gR-3F7du_M5j48VwCK4BGAsYHg/w612-h640/Latest%2BSetup.png" width="612" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The latest Venturi Tube design with face mask and tubing<br /></td></tr></tbody></table><div><br /></div><div>If we compare the results from the previous venturi tube (Mark 3) we can see that some considerable improvement has been achieved:</div><div><br /></div><div><table border="1" bordercolor="#888" cellspacing="0" style="border-collapse: collapse; border-color: rgb(136, 136, 136); border-width: 1px;"><tbody><tr><td style="min-width: 60px;"> </td><td style="min-width: 60px;"> Venturi Mark 3<br /></td><td style="min-width: 60px;">Venturi Mark 4 <br /></td></tr><tr><td style="min-width: 60px;"> Peak Raw Data Bits <br /></td><td style="min-width: 60px;">850 <br /></td><td style="min-width: 60px;"> 2900</td></tr><tr><td> Peak Differential Pressure<br /></td><td>103 Pa<span> </span><br /></td><td> 342 Pa<br /></td></tr><tr><td> Peak Volumetric Flow<br /></td><td>0.06 m^3/s<br /></td><td> 0.03 m^3/s<br /></td></tr><tr><td> Peak Velocity of Flow<br /></td><td> 7.1 m/s<br /></td><td> 21 m/s<br /></td></tr></tbody></table></div><div><br /></div><div>The tube's sensitivity has definitely improved. We need to assess the volumetric flow measurement more closely hence the need for a calibrated air flow. Once we have that I believe it will be possible to use this design of tube for accurate measurement of air flow.</div><div><br /></div><div>Once that has been sorted I need to add the BME280 Temperature, pressure and humidity sensor which arrived recently. <br /></div><div><br /></div><div>I have also just bought a 3.5 inch serial display...lets hope I can make it work well and it can be upscaled when necessary.</div><div><a href="https://www.amazon.co.uk/gp/product/B07FJPKP4R/ref=ppx_yo_dt_b_asin_title_o00_s00?ie=UTF8&psc=1" target="_blank"><br /></a></div><div><a href="https://www.amazon.co.uk/gp/product/B07FJPKP4R/ref=ppx_yo_dt_b_asin_title_o00_s00?ie=UTF8&psc=1" target="_blank">https://www.amazon.co.uk/gp/product/B07FJPKP4R/ref=ppx_yo_dt_b_asin_title_o00_s00?ie=UTF8&psc=1</a><br /></div><div><br /></div><div>That's all for now - Langster!<br /> </div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-44632477001433931812020-06-04T21:31:00.001+01:002020-06-04T21:33:02.806+01:00Design a better Venturi Tube!<div class="separator"><div style="margin-left: 1em; margin-right: 1em;"><br /></div></div>I have looked at the previous post on designing a venturi tube and performed some more research. It has come to my attention through testing experimentation and research that the venturi design I made is not fit for purpose. It is unfortunately entirely my fault as to why that is the case.<div><br /></div><div>I didn't do enough research and I didn't listen to my conscience. I was rushing to get things done...this is what happens when one rushes things.</div><div><br /></div><div>Rather than dwell on my mistakes I shall design a new and better and hopefully more correct venturi tube. I wasn't aware of this but there is an ISO standard for venturi tubes. It is based upon a British Standard:</div><div><br /></div><div>BS 7405:1991</div><div><br /></div><div>Unfortunately I cannot look at this standard as I do not have access to it and I cannot afford to buy it. It costs £392 to non members and £196 to members of the British Standards Institute. </div><div><br /></div><div><a href="https://shop.bsigroup.com/ProductDetail/?pid=000000000000248597">https://shop.bsigroup.com/ProductDetail/?pid=000000000000248597</a></div><div><br /></div><div>It would be free for me to view at my local central library however I cannot access my nearest central library in lock down...</div><div><br /></div><div>Helpfully a diagram has been reproduced which shows the pertinent mechanical details:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><img alt="Classical Vetituri meter design. (From B. S. 7405 (1991) Fig. 3.1.4, with permission of B.S.I.)" height="334" src="http://thermopedia.com/content/5662/1267VMFig1.gif" style="margin-left: auto; margin-right: auto;" width="640" /></td></tr><tr><td class="tr-caption" style="text-align: center;">Image Credit: <a href="http://thermopedia.com/content/1241/">http://thermopedia.com/content/1241/</a><br /></td></tr></tbody></table><div></div><div><br /></div><div>So what does the diagram tell us: <br /></div><div><br /></div><div>1. The high pressure portion of the venturi tube must be separated from the throat portion of the tube by a 21° sloping draft section.</div><div>2. The exit section shall have a 15° draft section and shall be longer than the high pressure portion. <br /></div><div>3. The throad section shall have a specified width (d).<br /></div><div>4. The low pressure measurement port position (Throat) shall be fixed at half the dimension of the length of the section. (d/2) <br /></div><div>5. The high pressure measurement port position shall be fixed at half the dimension of the length of the section. (D/2)</div><div> 6. The throat internal diamter shall be fixed and the same as the length of the section (d).</div><div>7. The high pressure diameter shall be fixed and the same as the length of the section (D).</div><div><br /></div><div>It is no surprise my design didn't work as well as expected...it was not designed properly...hey ho. Lets mark up the diagram and then draw a new version of the venturi tube and get it 3D printed...</div><div><br /></div><div>Here is the new design taking into account the information we now have:</div><div><br /></div><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-dvsuL9kHZLE/XtlXsCKoP-I/AAAAAAAAk64/ZV44HXgEqk4QS7yRax26HJGWbBWkkgznACK4BGAsYHg/Venturi%2BTube%2BMark%2B4%2BDiagram.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="779" data-original-width="911" height="548" src="https://1.bp.blogspot.com/-dvsuL9kHZLE/XtlXsCKoP-I/AAAAAAAAk64/ZV44HXgEqk4QS7yRax26HJGWbBWkkgznACK4BGAsYHg/w640-h548/Venturi%2BTube%2BMark%2B4%2BDiagram.png" width="640" /></a></div><div><br /></div><div>Here is a render of how it might look when printed:</div><div><br /></div><div><img height="508" src="data:image/png;base64,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" width="640" /></div><div><br /></div><div>So...the plan is to print yet another tube and calculate it's response and then test it and hope that it's response will be good enough. Iterating on designs is how improvements are made. I should add that mechanical design of instrumentation is not my area of expertise and I'm applying what I have learned from research. I have no real experience in designing venturi tubes!</div><div><br /></div><div>I used the following sites to help me:</div><div><br /></div><div><a href="https://www.efunda.com/formulae/fluids/venturi_flowmeter.cfm#calc">https://www.efunda.com/formulae/fluids/venturi_flowmeter.cfm#calc</a></div><div><br /></div><div><a href="https://en.wikipedia.org/wiki/Venturi_effect">https://en.wikipedia.org/wiki/Venturi_effect</a></div><div><br /></div><div><a href="https://www.sciencedirect.com/topics/engineering/venturi-tube">https://www.sciencedirect.com/topics/engineering/venturi-tube</a></div><div><br /></div><div><a href="https://www.academia.edu/31910094/Venturi_Tube_Design_Venturi_Tube_Design">https://www.academia.edu/31910094/Venturi_Tube_Design_Venturi_Tube_Design</a></div><div><br /></div><div>That's all for now! Take care - Langster!<br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com3tag:blogger.com,1999:blog-1118055223601902892.post-65366882369795636212020-05-31T14:01:00.000+01:002020-05-31T14:01:05.352+01:00STM32 Blue Pill with MPXV7002DP Differential Air Pressure Sensor and Venturi TubeThe previous post dealt with the mathematics behind a venturi tube. This post will cover how to use the tube I designed with an MPXV7002 sensor via a ADS1115 16 bit ADC.<div><br /></div><div>I will be honest I haven't had any experience with the STM32 Blue Pill or the ADS1115 ADC but I'll get there and I will blog about my adventures along the way. Hopefully someone else will find what I have learned and achieved (or not achieved) useful...</div><div><br /></div><div>I'm going to first get a the STM32 to work with the Arduino IDE - I then may move across to visual studio and platformIO. I'm not a huge fan of the Arduino IDE but it gets things done when one is in a rush...we are definitely in a rush here...</div><div><br /></div><div>Update after several hours....It was incredibly difficult to get the STM32 Blue Pill to work with the Arduino IDE. I followed all of the instructions given on these sites:</div><div><br /></div><div><a href="https://maker.pro/arduino/tutorial/how-to-program-the-stm32-blue-pill-with-arduino-ide" target="_blank">https://maker.pro/arduino/tutorial/how-to-program-the-stm32-blue-pill-with-arduino-ide</a> </div><div><br /></div><div><a href="https://circuitdigest.com/microcontroller-projects/getting-started-with-stm32-development-board-stm32f103c8-using-arduino-ide">https://circuitdigest.com/microcontroller-projects/getting-started-with-stm32-development-board-stm32f103c8-using-arduino-ide</a></div><div><br /></div><div>Disappointingly it didn't make a single difference. The STM32 would not reprogram via the Arduino IDE. </div><div><br /></div><div>I'm using a genuine RS232 Serial programming cable and I've checked the connections several times but there has been no response from the board...</div><div><br /></div><div>I watched the following youtube videos:</div><div><br /></div><div><a href="https://www.youtube.com/watch?v=UW6IMeotnWw&feature=emb_logo">https://www.youtube.com/watch?v=UW6IMeotnWw&feature=emb_logo</a></div><div><br /></div><div>But nothing seemed to work....</div><div><br /></div><div>Finally I watched this video:</div><div><br /></div><div><a href="https://www.youtube.com/watch?v=wGbiT6IxGP0&t=304s">https://www.youtube.com/watch?v=wGbiT6IxGP0&t=304s</a></div><div><br /></div><div>...and downloaded all of the files mentioned in the description, followed the instructions and managed to load BLINK onto the STM32 Blue Pill using the microUSB connector. A bootloader compatible is flashed onto the STM32 which then enumerates the board as a USB device.</div><div><br /></div><div>I'm happy I got things working but this was a painful experience and took far longer than it should have...hey ho.</div><div><br /></div><div>Now that I have the STM32 Blue Pill working and programming via the USB port it is time to get connecting the ADS1115 breakout board and MPXY7002DP Pressure sensor connected up.</div><div><br /></div><div>The ADS1115 is a 16 bit ADC (analogue to digital converter) and a programmable gain amplifier or PGA. It is connected to the microcontroller via I2C connections and requires a 3.3 Vdc supply and ground.</div><div><br /></div><div>The MPX7002DP is an analogue sensor and requires a 5 Vdc supply and a ground connection. The signal output will connect to the A0 input on the ADS1115 ADC.</div><div><br /></div><div>The pin connections for the STM32 Blue Pill will be 3.3 Vdc, 5 Vdc, Ground, SDA and SCL (I2C). I've decided to use SCL1 and SDA1 for I2C connections.</div><div><br /></div><div>Here is the pin connection information for the STM32 Blue Pill:</div><div><br /></div><div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgj1O2nQEk6LZeVuI44vZHFohJ8OAGWb8K7wK3zopdRW6stwH4XqweNLpTGzzdkDJCOTjryM9nsoMRJB5-4IKCeI-6a-ePfqKQP9HcMaSbnJ7vY4I8UCCRdgJ7QqQGYXa_DDn1mRMS3TyE/" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="500" data-original-width="750" height="426" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgj1O2nQEk6LZeVuI44vZHFohJ8OAGWb8K7wK3zopdRW6stwH4XqweNLpTGzzdkDJCOTjryM9nsoMRJB5-4IKCeI-6a-ePfqKQP9HcMaSbnJ7vY4I8UCCRdgJ7QqQGYXa_DDn1mRMS3TyE/w640-h426/STM32-Blue-Pill-Development-Board-Pinout.jpg" width="640" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Image Credit: <a href="https://components101.com/">https://components101.com/</a><br /><br /><br /></td></tr></tbody></table>Here is a connection diagram for those that like images. I used Fritzing to create it and to be honest that program has come a long way. I really like it for quick diagrams...it's very useful.</div><div><a href="https://1.bp.blogspot.com/-FkNFdTZUShM/XtKh_ylt5aI/AAAAAAAAkuw/Xb09ZyZxfwIrdeWFT5VoZtsbEuDOg6WagCK4BGAsYHg/Venturi%2BTest%2BSetup.png" style="margin-left: 1em; margin-right: 1em; text-align: center;"><img border="0" data-original-height="757" data-original-width="1152" height="420" src="https://1.bp.blogspot.com/-FkNFdTZUShM/XtKh_ylt5aI/AAAAAAAAkuw/Xb09ZyZxfwIrdeWFT5VoZtsbEuDOg6WagCK4BGAsYHg/w640-h420/Venturi%2BTest%2BSetup.png" width="640" /></a></div><br />Now is the time for my least favourite part...writing firmware. I'm actually not particularly good at this - I just muddle through.<div><br /></div><div>The idea is to reuse the code from my previous post accounting for the new Venturi tube dimensions and the 16 bit ADC.</div><div><br /></div><div>The first thing to do is download the following libraries and install them for use with the Arduino IDE:</div><div><br /></div><div><a href="https://github.com/adafruit/Adafruit_ADS1X15">https://github.com/adafruit/Adafruit_ADS1X15</a></div><div><br /></div><div><a href="https://github.com/MajenkoLibraries/Average">https://github.com/MajenkoLibraries/Average</a></div><div><br /></div><div><div>Then read the example code for the Adafruit library and apply it! I may have failed to set the I2C address correctly when testing this...</div></div><div><br /></div><div>Here is the test code...it does work however I think the Venturi tube needs more work to improve sensitivity...</div><div><br /></div><div class="separator" style="clear: both; text-align: left;"><pre style="background: rgb(240, 240, 240) url("https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhBMf9IhKDoQhBbHmi3Or1gO4lQgDEIdZWHAvlu0chHLa02pAAZqMSTT7GBDiY8GE5lXuCt9ux_9815OIV0DQJCYYn2ShBSBe3oc9CyAJdSrAU8JTRPX315lhJSZGtcKTJi_Bf9ZNNV8ROi/s320/codebg.gif") repeat scroll 0% 0%; border: 1px dashed rgb(204, 204, 204); font-family: "arial"; font-size: 12px; height: 2700px; line-height: 20px; overflow: auto; padding: 0px; width: 450.828px;"><code style="overflow-wrap: normal;">1: // Venturi Mark 3 Test Code
2: // Using the MPX7002DP Differential Air Pressure Sensor
3: // Converts the sensor data received to volumetric flow
4: // rate and velocity of flow
5: //
6: // A.Lang - ©2020
7: //
8: // This code exercises the MPX7002DP
9: // Pressure sensor connected to ADS1115 16 bit ADC
10: // Gain of ADC set to 4096...
11: // Averaging added to reduce noise and remove offset.
12:
13: //Libraries
14: #include <Adafruit_ADS1015.h>
15:
16: #include <Average.h>
17:
18: //Set Average sample rate
19: Average < float > averageValue(200);
20:
21: // Initialise ADC object
22: Adafruit_ADS1115 ads1115(0x48); /* Use this for the 16-bit version */
23:
24: //variables
25:
26: int sampleNumber = 0; // variable to store the sample number
27: int16_t sensorValue = 0; // variable to store the Raw Data value coming from the sensor
28:
29: float averageInitialValue = 0; // variable to store the average inital value
30:
31: float diffPressure = 0; // variable to store converted kPa value
32: float volumetricFlow = 0; // variable to store volumetric flow rate value
33: float velocityFlow = 0; // variable to store velocity of flow value
34: float offset = 0; // variable to store offset differential pressure
35:
36: //constants - these will not change
37: const float tubeArea1 = 0.01455; // area of Large Part of Venturi Tube
38: const float tubeArea2 = 0.0044; // area of Smaller Part of Venturi Tube
39: const float airDensity = 1.206; // The density of air at 20 °C
40:
41: void setup() {
42: // start serial port at 9600 bps and wait for port to open:
43: Serial.begin(115200);
44:
45: Serial.flush(); //Clear the Serial Terminal buffer
46: Serial.println(); //Insert a blank line
47:
48: ads1115.setGain(GAIN_ONE); // 1x gain +/- 4.096V 1 bit = 2mV
49: ads1115.begin(); // Start the ADC running
50:
51: //Header for CSV data
52:
53: Serial.print("Sample Number, Raw Sensor Value, Differential Pressure, Volumetric Flow Rate, Velocity of Flow,");
54: Serial.println();
55: Serial.print(" , bits , Pa , m^3/second , m/s ,");
56: Serial.println();
57:
58: // get initial sensor value
59: for (int i = 0; i < 200; i++) {
60:
61: // read the value from the sensor:
62: sensorValue = ads1115.readADC_SingleEnded(0);
63: delay(25);
64: //push sensor values to averageValue object
65: averageValue.push(sensorValue);
66: }
67:
68: for (int i = 0; i < 200; i++) {
69: // get average Sensor values
70: averageValue.get(i);
71:
72: }
73:
74: //calculate mean average sensor and store it
75: averageInitialValue = averageValue.mean();
76:
77: Serial.print("Average Initial Value :");
78: Serial.print(averageInitialValue);
79: Serial.println();
80:
81: }
82:
83: void loop() {
84:
85: // read the value from the sensor via ADC 0 pin:
86: sensorValue = ads1115.readADC_SingleEnded(0);
87:
88: // initial value
89: sensorValue = sensorValue - (int) averageInitialValue;
90:
91: // increment sample counter
92: sampleNumber++;
93:
94: // map the Raw data to kPa
95: diffPressure = map(sensorValue, 0, 32768, 0, 4000);
96:
97: if (sensorValue >= 0) {
98: //calculate volumetric flow rate for Exhalation
99: volumetricFlow = tubeArea1 * (sqrt((2 / airDensity) * (diffPressure / (sq(tubeArea1 / tubeArea2) - 1))));
100:
101: //calculate velocity of flow
102: velocityFlow = volumetricFlow / tubeArea1;
103: }
104: // convert reading to a positive value
105: else if (sensorValue <= 0) {
106: diffPressure = diffPressure * -1;
107:
108: //calculate volumetric flow rate for Inhalation
109: volumetricFlow = tubeArea2 * (sqrt((2 / airDensity) * (diffPressure / (1 - sq(tubeArea2 / tubeArea1)))));
110:
111: //calculate velocity of flow
112: velocityFlow = volumetricFlow / tubeArea2;
113: }
114:
115: // Print the results as comma separated values for easier processing
116: // in a spreadsheet program
117:
118: Serial.print(sampleNumber);
119: Serial.print(",");
120: Serial.print(sensorValue);
121: Serial.print(",");
122: Serial.print(diffPressure);
123: Serial.print(",");
124: Serial.print(volumetricFlow);
125: Serial.print(",");
126: Serial.print(velocityFlow);
127: Serial.print(",");
128: Serial.println();
129:
130: // wait 25 milliseconds before the next loop
131: // for the analog-to-digital converter and
132: // pressure sensor to settle after the last reading:
133: delay(25);
134:
135: } </code></pre></div><div class="separator" style="clear: both; text-align: left;">I suppose I should explain what the code does and how it works:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The code makes use of two external libraries - Adafruit_ADS1015.h and Average.h. These libraries are used to control the 16 bit analogue to digital converter (ADC) and to calculate a mean average on the data received.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Several variables are then initialised to store and process the data we are going to receive from the MPX7002 sensor via the ADC.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Several constants are initialised. These are values which will never change.<br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The setup function initialises serial communications from the STM32 Blue pill to the external computer at 9600 baud. That means that text data from the STM32 will be received at 9600 bits per second. Each character is 8 bits long so that means that in theory 1200 characters can be received in one second. We are not sending 1200 characters so there should be more than enough speed to receive all of the data we need.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The next part of the setup function sets the ADC gain to receive signals between zero volts and 4.096 volts. This is so that we don't waste time trying to measure signals that won't be present. The output from the MPX7002 sensor is from 0.5 Vdc to 4.5 Vdc which overlaps most closely with the programmable gain setting chosen. I may choose to change this later after some more testing.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Next the setup function sets the ADC into operation and performs two measurment loops to measure the average of the data received. This is so that the offset can be removed later and prevent issues with displaying the data. The average calculated is sent to the serial terminal so it can be viewed and recorded.<br /></div><div class="separator" style="clear: both; text-align: left;"></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The serial terminal is then sent two strings to prepare the data for comma separated values. This is so that if we want to graph the data externally we can copy and paste the serial data and import it into a spreadsheet program. The data separated by commas is automatically placed in columns ready for tabling and graphing. <br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The next function is the main loop which runs continuously...</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The data is read from the sensor via the ADC. <br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The offset previously calculated in the setup function is removed.<br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The sample number is incremented.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The raw data from the ADC is converted to kPA</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">If the data is greater than zero (exhalation) the volumetric flow is calculated and then the velocity of flow is calculated.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">If the data is less than zero (inhalation) then the data is converted to positive and then the volumetric flow is calculated and then the velocity of flow is calculated.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The data calculated is sent via the serial terminal to the external computer.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">A delay of 25 ms is present to ensure the sensor is given time to settle before the loop starts again taking the next measurement.<br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">----<br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The results from the serial plotter within the Arduino IDE are quite good. It is also possible to copy and paste the contents of the serial terminal and graph with a suitable spreadsheet program.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">Here are some of the results:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><img height="430" src="data:image/png;base64,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" width="640" /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><img height="430" src="data:image/png;base64,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" width="640" /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><img height="421" src="data:image/png;base64,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" width="640" /></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><img height="421" src="data:image/png;base64,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" width="640" /></div><div class="separator" style="clear: both; text-align: left;">Here is a photo of the test setup just so everyone can see what is going on:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><img height="521" src="data:image/png;base64,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" width="640" /></div><div class="separator" style="clear: both; text-align: left;">So to conclude...The electronic part of this development fundamentally works. We can measure airflow and record the results. We need to further develop the electronics to display the measurements visibly and in real time. I'm going to have to invest in a display. Ideally I would use a large format touch screen display that was cheap and easy to use. </div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">I have been looking at several options:</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://learn.adafruit.com/adafruit-3-5-color-320x480-tft-touchscreen-breakout/overview">https://learn.adafruit.com/adafruit-3-5-color-320x480-tft-touchscreen-breakout/overview</a></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://www.banggood.com/GeekTeches-3_2-Inch-TFT-LCD-Display-TFTSD-Shield-For-MEGA-2560-LCD-Module-SD-level-Translation-2_8-3_2-DUE-p-1449097.html?rmmds=myorder&cur_warehouse=CN">https://www.banggood.com/GeekTeches-3_2-Inch-TFT-LCD-Display-TFTSD-Shield-For-MEGA-2560-LCD-Module-SD-level-Translation-2_8-3_2-DUE-p-1449097.html?rmmds=myorder&cur_warehouse=CN</a></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://www.amazon.com/gp/product/B07KN1BJ4S/ref=ox_sc_act_title_1?smid=APK52YK43OZB9&psc=1">https://www.amazon.com/gp/product/B07KN1BJ4S/ref=ox_sc_act_title_1?smid=APK52YK43OZB9&psc=1</a></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><a href="https://www.banggood.com/7_0-Inch-Nextion-Enhanced-HMI-Intelligent-Smart-USART-UART-Serial-Touch-TFT-LCD-Module-Display-Panel-p-1229187.html?rmmds=search&cur_warehouse=UK">https://www.banggood.com/7_0-Inch-Nextion-Enhanced-HMI-Intelligent-Smart-USART-UART-Serial-Touch-TFT-LCD-Module-Display-Panel-p-1229187.html?rmmds=search&cur_warehouse=UK</a></div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The seven inch touch screen from Banggood is my first choice due to it's size and ease of use. It is well supported and works with the arduino and because it is serial control it should be easy to get working. My issue is price...it is expensive. I'm very tempted to develop using one of the smaller displays until I absolutely have to increase the screen size.</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">The biggest concern I have at the moment is with the quality of the measurement data received. I noticed that it is difficult to distinguish between an inhalation and an exhalation and that one really needed to breathe hard to get a visible response....I think the venturi tube design needs work...It is difficult for me though as I don't have any experience with the data I'm getting. I don't have access to a calibrated air pump to verify the results. I think it may be time to get some assistance...</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;">That's all for now...take care - Langster!</div><div class="separator" style="clear: both; text-align: left;"><br /></div><div class="separator" style="clear: both; text-align: left;"><br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com2tag:blogger.com,1999:blog-1118055223601902892.post-9100241519703666432020-05-30T01:21:00.005+01:002020-06-02T18:14:02.734+01:00Calculating the volume(s) of the new Venturi Tube!If you are keeping up I have designed a new venturi tube and 3D printed it.<div><br /></div><div>Here it is in all it's splendour...if such a term can be used to describe it 😂</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="height: 365px; margin-left: auto; margin-right: auto; width: 325px;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-GpJASdx7HVA/XtFX_iFKI5I/AAAAAAAAkqg/ux4dbyCS8IUt5ceJQDd0vhfuelOuQE4RACK4BGAsYHg/20200529_093513.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1406" data-original-width="1159" height="320" src="https://1.bp.blogspot.com/-GpJASdx7HVA/XtFX_iFKI5I/AAAAAAAAkqg/ux4dbyCS8IUt5ceJQDd0vhfuelOuQE4RACK4BGAsYHg/s320/20200529_093513.jpg" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">The newly printed Venturi Tube!<br /></td></tr></tbody></table><div><br /></div><div>It didn't print too badly although my printer has room for improvement! I may well tweak the settings and print another as one of the ports for the tubes broke off. The design may need improving anyway. It will work for now...</div><div><br /></div><div>Here is a poor diagram for the venturi tube. For some inexplicable reason I cannot easily export 2D images with dimensions from Fusion 360.<br /><div class="separator" style="clear: both; text-align: center;"><a href="https://1.bp.blogspot.com/-aVsfEWzpii4/XtF989s2BvI/AAAAAAAAkso/1qDZ0h0jGPYaSYYtQhkFxQbzxNRizwVbwCK4BGAsYHg/Venturi%2BTube%2BMark%2B3%2BDiagram%2Bwith%2BDimensions.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1310" data-original-width="1144" height="640" src="https://1.bp.blogspot.com/-aVsfEWzpii4/XtF989s2BvI/AAAAAAAAkso/1qDZ0h0jGPYaSYYtQhkFxQbzxNRizwVbwCK4BGAsYHg/w559-h640/Venturi%2BTube%2BMark%2B3%2BDiagram%2Bwith%2BDimensions.png" width="559" /></a></div></div><div>In order to write the firmware and calculate the required values from the sensor measurements we need to calculate the volume of each of the shaded sections of the venturi tube and apply the <strike>Bernoulli </strike> <b>Venturi</b> formula:</div><div><br /></div><div><div class="separator" style="clear: left; float: left; margin-bottom: 1em; margin-right: 1em; text-align: center;"><img src="https://latex.codecogs.com/gif.latex?Q%20%3D%20A_%7B1%7D.%5Csqrt%7B%5Cfrac%7B2%7D%7B%5Cmu%7D.%5Cfrac%7BP_%7B1%7D-P_%7B2%7D%7D%7B%28%5Cfrac%7BA_%7B1%7D%7D%7BA_%7B2%7D%7D%29%5E%7B2%7D-1%7D%7D%3D%20A_%7B2%7D.%5Csqrt%7B%5Cfrac%7B2%7D%7B%5Cmu%7D.%5Cfrac%7BP_%7B1%7D-P_%7B2%7D%7D%7B1-%28%5Cfrac%7BA_%7B2%7D%7D%7BA_%7B1%7D%7D%29%5E%7B2%7D%7D%7D" /></div><div style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; font-family: arial, tahoma, helvetica, freesans, sans-serif; font-size: 13.2px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: start; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;"><font size="4"><span style="font-family: times;"></span></font></div></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div></div><div><br /></div><div>An is the blue shaded area which is made up of three cylinders: A1, A2 and A3. The <strike>volume</strike> area of a cylinder is found by the following formula:</div><div><br /></div><div><img height="19" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A%3D%5Cpi.r%5E2.l" width="91" /></div><div><br /></div><div></div><div>If we now use the dimensions from the diagram we have the following for the blue shaded area (An): <br /></div><div></div><div><br /></div><div><img height="22" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_1%3D%5Cpi.12.75%5E2.10" width="144" /></div><div><br /></div><div><img height="22" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_2%3D%5Cpi.10%5E2.30" width="120" /></div><div><br /></div><div><img height="23" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_3%3D%5Cpi.1.075%5E2.12" width="151" /></div><div><br /></div><div><img height="20" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_n%3DA_1&plus;A_2&plus;A_3" width="180" /></div><div><br /></div><div><img height="20" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_n%3D5107.7&plus;9426&plus;13.8675" width="274" /></div><div><br /></div><div><img height="18" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_n%3D14547.5675" width="149" /> <br /></div><div><br /></div><div>The area of the blue section (An) is 14547.5675 mm^2 or 0.01455 m^2</div><div><br /></div><div>Lets calculate the volume of the green section (Am):</div><div><br /></div><div><img height="27" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_4%3D%5Cpi.7.5%5E2.25" width="153" /></div><div><br /></div><div><img height="22" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_4%3D4418.4375" width="155" /></div><div><br /></div><div><img height="25" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_5%3D%5Cpi.1075%5E2.12" width="158" /></div><div><br /></div><div><img height="20" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_5%3D13.8675" width="120" /></div><div><br /></div><div><img height="21" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_m%20%3D%204418.4375&plus;13.8675" width="253" /></div><div><br /></div><div><img height="21" src="https://latex.codecogs.com/gif.latex?%5CLARGE%20A_m%20%3D%204432.305" width="144" /></div><div><br /></div><div>The area of the green section (Am) is 4432.305 mm^2 or 0.0044 m^2</div><div><br /></div><div>Note: I used a value of 3.142 for Pi...<br /></div><div><br /></div><div>Lets now attempt to calculate Q, the volumetric flow rate. We will use a value of 320 for P<font size="1">1</font> and 200 for P<font size="1">2,</font> The density of air (µ) at 20 °C is 1.204:<br /></div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%20%3D%20An.%5Csqrt%7B%5Cfrac%7B2%7D%7B%5Cmu%7D.%5Cfrac%7BP_1-P_2%7D%7B%28%5Cfrac%7BA_n%7D%7BA_m%7D%29%5E%7B2%7D-1%7D%7D" /></div><div><br /></div><div>Applying the values calculated above:<br /></div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%20%3D%20An.%5Csqrt%7B%5Cfrac%7B2%7D%7B1.204%7D.%5Cfrac%7B320-200%7D%7B%28%5Cfrac%7B14547.5675%7D%7B4432.305%7D%29%5E%7B2%7D-1%7D%7D" /></div><div><br /></div><div>This simplifies to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D14547.5675.%5Csqrt%7B1.66112956811.%5Cfrac%7B120%7D%7B9.77262361493%7D%7D" /></div><div><br /></div><div>Which finally gives:<br /></div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D14547.5675%20*%204.51634168448" /></div><div><br /></div><div>Therefore Q is:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D65701.785508" /></div><div><br /></div><div>The volumetric flow rate of air (Q) in the blue section, with a pressure differential of 120 has been calculated to be 65702.79 mm^3/s or 0.0657 m^3/s.<br /></div><div><br /></div><div>Lets calculate the volumetric flow rate of air (Q) in the green section:</div><div><br /></div><div> <img src="https://latex.codecogs.com/gif.latex?Q%3D4432.305*%5Csqrt%7B%5Cfrac%7B2%7D%7B1.204%7D*%5Cfrac%7B320-200%7D%7B1-%28%5Cfrac%7B4432.305%7D%7B14547.5675%7D%29%5E%7B2%7D%7D%7D" /></div><div><br /></div><div>This simplifies to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D4432.305*%5Csqrt%7B1.66112956811*%5Cfrac%7B120%7D%7B0.90717210257%7D%7D" /></div><div><br /></div><div>Which finally gives:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D4432.305*14.8233899761" /></div><div><br /></div><div>Therefore Q is:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?Q%3D65701.7855081" /></div><div><br /></div><div>The volumetric flow rate of air (Q) in the green section, with a pressure
differential of 120 has been calculated to be 65702.79 mm^3/s or 0.0657 m^3/s.</div><div><br /></div><div>Thankfully the calculations match for the different sections - It means theoretically the operations performed were correct...</div><div><br /></div><div>We can now calculate the velocity of flow using the formula:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_1%3D%5Cfrac%7BQ%7D%7BA_n%7D%28metres/s%29" /></div><div><br /></div><div>Using the values calculated:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_1%3D%5Cfrac%7B0.0657%7D%7B0.01455%7D" /></div><div><br /></div><div>which calculates to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_1%3D0.45155866329" /></div><div><br /></div><div>The velocity of flow in the blue section with a pressure differential of 120 has been calculated to be 0.4516 metres / second.</div><div><br /></div><div>If we repeat for the green section:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_2%3D%5Cfrac%7BQ%7D%7BA_m%7D%28metres/s%29" /></div><div><br /></div><div>Using the values calculated:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_2%3D%5Cfrac%7B0.0657%7D%7B0.004432%7D" /></div><div><br /></div><div>which calculates to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?V_2%3D14.824" /></div><div><br /></div><div>The velocity of flow in the green section with a pressure differential
of 120 has been calculated to be 14.82e^-9 metres / second.</div><div><br /></div><div>We can check our results are correct by applying Bernoulli's formula:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?P1%20-%20P2%20%3D%20%5Cfrac%7B%5Crho%7D%7B2%7D*%28v_%7B2%7D%5E2-v_%7B1%7D%5E2%29" /></div><div><br /></div><div>If we apply the values we have calculated:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?320-200%3D%5Cfrac%7B1.204%7D%7B2%7D*%2814.82%5E2-4.516%5E2%29" /></div><div><br /></div><div>This simplifies to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?320-200%3D0.602*%28219.6324-20.394256%29" /></div><div><br /></div><div>Which finally calculates to:</div><div><br /></div><div><img src="https://latex.codecogs.com/gif.latex?0.602*%28219.6324-20.394256%29%3D119.941362688" /></div><div><br /></div><div>As the pressure differential was set to 120 and calculating back came to 119.94 I think we are close enough! With long decimal numbers and rounding there will always be some errors which creep in - I'm happy that our method is correct.</div><div><br /></div><div>We can know turn this into some firmware. We needed to perform the calculations to obtain the volume of the blue section and the volume of the green section. These will be used as constants in our firmware along with the constants used for the density of air at 20 °C.</div><div><br /></div><div>The more astute among my readers will notice that the venturi formula uses µ as the symbol for the density of air. This is incorrect and it should be <span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;">ρ.</span></div><div><span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;"><br /></span></div><div>Please accept my notational errors but I haven't the will or patience to change the µ symbol to <span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;">ρ....</span></div><div><br /><span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;"></span></div><div><span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;"></span>Please also accept my apologies for the really long and possibly boring post...It had to be done to get to the firmware writing stage.</div><div><br /></div><div>If anyone is curious as to how I have obtained the formulae used these are applications of the Venturi Equation. I wrote about this in my previous post:</div><div><br /></div><div><a href="http://langster1980.blogspot.com/2017/04/create-spirometer-using-msp7002dp.html">http://langster1980.blogspot.com/2017/04/create-spirometer-using-msp7002dp.html</a></div><div><br /></div><div>In the next post I will write some firmware for the STM32 Blue Pill and connect up the differential air pressure sensor, 16 bit ADC and test the venturi tube...right now I need some sleep...<br /></div><div><br /></div><div>That's all for now - take care always - Langster!<br /><span style="-webkit-text-stroke-width: 0px; background-color: white; color: #222222; display: inline; float: none; font-family: arial, sans-serif; font-size: 14px; font-style: normal; font-variant-caps: normal; font-variant-ligatures: normal; font-weight: 400; letter-spacing: normal; text-align: left; text-decoration-color: initial; text-decoration-style: initial; text-indent: 0px; text-transform: none; white-space: normal; word-spacing: 0px;"></span></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div><div><br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com2tag:blogger.com,1999:blog-1118055223601902892.post-11101357970896629252020-05-28T23:12:00.000+01:002020-05-28T23:14:20.651+01:00New Venturi tube for Mass Air Flow sensing Some of my blog readers in the Sudan have asked me to help them in designing equipment for respirators. At the moment everyone everywhere seems to be suffering with COVID-19 problems and I suspect certain countries are having a much worse time than others.<div><br /></div><div>The readers have seen my efforts at designing a 3D printed venturi tube and believe it might help them in their efforts to design a easy to build respirator. There are several open source respirator projects at the moment - here are two of the more promising ones:</div><div><br /></div><div><a href="http://oedk.rice.edu/apollobvm/" target="_blank">Rice University - ApolloBVM </a><br /></div><div><br /></div><div><a href="https://opensourceventilator.ie/" target="_blank">OpenSourceVentilator</a><br /></div><div><br /></div><div>I have looked for information on the air flow sensing part of the requirements and cannot find much information. It would seem that this part of the problem is either being overlooked or resolved with off the shelf products. I don't have any issues with using off the shelf products but they will only be available to those that can afford to pay for them...and they are only available...while stocks last.</div><div><br /></div><div>The product most discussed is the SFM3300 Mass Flow Meter made by a company called Sensiron:</div><div><br /></div><div><a href="https:/https://www.sensirion.com/en/flow-sensors/mass-flow-meters-for-high-precise-measurement-of-gases/proximal-flow-sensors-sfm3300-autoclavable-washable-or-single-use//www.sensirion.com/en/flow-sensors/mass-flow-meters-for-high-precise-measurement-of-gases/proximal-flow-sensors-sfm3300-autoclavable-washable-or-single-use/" target="_blank">SFM3300</a></div><div><br /></div><div>It looks to be a well designed product and is available here in case this is useful:</div><div><br /></div><div><a href="https://www.mouser.co.uk/new/sensirion/sensirion-sfmxxx-digital-flow-meters/?gclid=Cj0KCQjwwr32BRD4ARIsAAJNf_3p9Fh_BzC7FjoQO38j42_WX9d01rPN81HkND5sG-XtDZ4XTFfLxgkaAqVDEALw_wcB" target="_blank">Sensiron SFM3300 from Mouser</a></div><div><br /></div><div><a href="https://uk.farnell.com/sensirion/ek-f3x-cap/flow-sensor-eval-kit-95ac5339/dp/3103636?ost=sfm3300+sensirion" target="_blank">SFM3300 Evaluation Kit from Farnell</a></div><div><br /></div><div>I'm looking to design my own version using the following electronic breakout modules:</div><div><br /></div><div><a href="https://www.amazon.co.uk/MPXV7002DP-Airspeed-Breakout-Transducer-Pressure/dp/B01ERHZRPO" target="_blank">MPXV7002DP Differential Air Pressure Sensor Breakout</a></div><div><a href="https://www.amazon.co.uk/gp/product/B07DFX9Q4G/ref=ppx_yo_dt_b_asin_title_o00_s01?ie=UTF8&psc=1" target="_blank">ADS1115 Analogue to Digital Converter</a></div><div><a href="https://www.banggood.com/BME280-Digital-Sensor-Temperature-Humidity-Atmospheric-Pressure-Sensor-Module-p-1354769.html?rmmds=myorder&cur_warehouse=UK" target="_blank">BME280 Barometric Pressure, Temperature and Humidity Sensor Breakout</a><br /></div><div><br /></div><div>The datasheets for these devices are here:</div><div><br /></div><div><a href="http://www.farnell.com/datasheets/2342514.pdf" target="_blank">MPXV7002 Datasheet</a></div><div><a href="https://www.ti.com/lit/ds/symlink/ads1115-q1.pdf?ts=1590695330465" target="_blank">ADS1115 Datasheet</a></div><div><a href="https://www.bosch-sensortec.com/media/boschsensortec/downloads/datasheets/bst-bme280-ds002.pdf" target="_blank">BME280 Datasheet</a></div><div><br /></div><div>These components will need to be mounted on or near to a new venturi tube which will be 3D printed to ensure it is easy to manufacture. The electronic signals from the breakout board will be connected to the analogue to digital converter (ADC) and the output from the ADC will connect to an STM32 microcontroller known as the 'blue pill'.</div><div><br /></div><div><a href="https://www.amazon.co.uk/gp/product/B07CRHX5F5/ref=ppx_od_dt_b_slice_asin_title_s00?ie=UTF8&psc=1" target="_blank">STM32 Blue Pill</a></div><div><br /></div><div>The microcontroller used on the 'Blue Pill' in this version is the STM32F103C8T6. It's datasheet is here:</div><div><br /></div><div><a href="https://www.st.com/resource/en/datasheet/stm32f103c8.pdf" target="_blank">STM32F103x8 Datasheet</a></div><div><br /></div><div>Here is the design for the venturi tube:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-T72AKyLlRaE/XtAzVEJQQBI/AAAAAAAAko0/m6GNI6hzQlsr7F6ZM4KUR_20R07KzT5zACK4BGAsYHg/Venturi%2BTube%2BMark%2B3%2Bv2.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="878" data-original-width="1279" height="316" src="https://1.bp.blogspot.com/-T72AKyLlRaE/XtAzVEJQQBI/AAAAAAAAko0/m6GNI6hzQlsr7F6ZM4KUR_20R07KzT5zACK4BGAsYHg/s320/Venturi%2BTube%2BMark%2B3%2Bv2.png" width="462" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Latest Venturi Tube with indent for BME280 Breakout PCB<br /></td></tr></tbody></table><div><br /></div><div>The principle dimensions for the tube are 105 x 36 x 31 mm and I will be 3D printing it very shortly to allow for testing. Once I have printed and tested one I will be performing all of the calculations required to use it with the MPX7002 Pressure sensor. I will be sharing the files once I have validated the design.<br /></div><div><br /></div><div>Internally the venturi tube has several cylindrical volumes removed in order to create the venturi apertures.</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-5K9nY7ECyXQ/XtA2n90gVkI/AAAAAAAAkpQ/y5_Aj80PeywGN0CqNNKStnct5CF7Lyh9QCK4BGAsYHg/Venturi%2BTube%2BMark%2B3%2Bv2%2Bside%2Bprofile.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="878" data-original-width="1279" src="https://1.bp.blogspot.com/-5K9nY7ECyXQ/XtA2n90gVkI/AAAAAAAAkpQ/y5_Aj80PeywGN0CqNNKStnct5CF7Lyh9QCK4BGAsYHg/s320/Venturi%2BTube%2BMark%2B3%2Bv2%2Bside%2Bprofile.png" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Side profile showing the internal volumes of the Venturi Tube<br /></td></tr></tbody></table><div><br /></div><div>The next post will cover the calculations of the internal volumes of the tube ready for writing the firmware for the microcontroller.</div><div><br /></div><div>That is all for now, take care - Langster!<br /></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com1tag:blogger.com,1999:blog-1118055223601902892.post-56285756861594115142020-05-22T23:23:00.002+01:002020-05-22T23:37:21.489+01:00Old Idea...new attempt - Chess Clocks!The first blog post I wrote on electronics was about making a set of Chess clocks! <div><br /></div><div>Here are those posts in case anyone is interested - Hopefully my engineering skills have improved somewhat since then!</div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/11/driving-seven-segment.html">https://langster1980.blogspot.com/2011/11/driving-seven-segment.html</a></div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/11/joy-of-latching-shift-registers-so.html">https://langster1980.blogspot.com/2011/11/joy-of-latching-shift-registers-so.html</a></div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/11/more-on-chess-clocks.html">https://langster1980.blogspot.com/2011/11/more-on-chess-clocks.html</a></div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/11/more-on-chess-clocks.html">https://langster1980.blogspot.com/2011/11/more-on-chess-clocks.html</a></div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/11/i-designed-display-pcb-in-eagle.html">https://langster1980.blogspot.com/2011/11/i-designed-display-pcb-in-eagle.html</a></div><div><br /></div><div><a href="https://langster1980.blogspot.com/2011/12/its-holiday-season-more-on-clocks.html">https://langster1980.blogspot.com/2011/12/its-holiday-season-more-on-clocks.html</a></div><div><br /></div><div>Recently I started playing chess again and I also started watching a very lively bunch on Youtube who play chess and banter often. They play five minute blitz games and shoot the breeze and it's really entertaining and educational. </div><div><div><br /></div><div>If any of my readers are interested in chess please check them out:</div><div><br /></div><div><a href="https://www.youtube.com/channel/UCq6gYeqi8RIvVeF-sJwdaBg/featured" target="_blank">Coffee Chess</a><br /></div><div><br /></div><div>I noticed that they use a set of chess clocks but with liquid crystal displays which when filming doesn't show up well on camera. I think a seven segment LED display will be much clearer to see and would make the video editing easier.</div><div><br /></div><div>Every good engineer or inventor should check if there is a product out there already before they rush off and develop something and unsurprisingly there is, chess clocks are incredibly popular products it would seem. </div><div><br /></div><div>Here are some examples of chess clocks on the market:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-dT9OAEOOim8/XshHe0KIGgI/AAAAAAAAkio/HUj341OURD4p2sgIBHS1m4zLkt4UmzV6ACK4BGAsYHg/Farrar-tanner%2Banalogue%2Bchess%2Bclock.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1000" data-original-width="1000" height="320" src="https://1.bp.blogspot.com/-dT9OAEOOim8/XshHe0KIGgI/AAAAAAAAkio/HUj341OURD4p2sgIBHS1m4zLkt4UmzV6ACK4BGAsYHg/s320/Farrar-tanner%2Banalogue%2Bchess%2Bclock.jpg" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Analogue Chess Clocks - image credit Farrar - Tanner<br /></td></tr></tbody></table><div><br /></div><div><a href="https://www.farrar-tanner.co.uk/bureau-c73/classic-games-c101/bhb-wooden-turnier-chess-clock-dark-wood-p1895/" target="_blank">https://www.farrar-tanner.co.uk/bureau-c73/classic-games-c101/bhb-wooden-turnier-chess-clock-dark-wood-p1895/</a><br /></div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhZmFQHFf77_c0v-RHxhe2Lf-9V9BnAYbiOVj4MpmQeFN5K7ihCCzsv86CVOZ0HA1Wr1BDxsftpr42MbpzbTOzR4iC2wVtsz8onZ_clCfoiLlkZN1ov0pG6bDmvIaImjzXmofb0vq6Dd5A/" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="600" data-original-width="600" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhZmFQHFf77_c0v-RHxhe2Lf-9V9BnAYbiOVj4MpmQeFN5K7ihCCzsv86CVOZ0HA1Wr1BDxsftpr42MbpzbTOzR4iC2wVtsz8onZ_clCfoiLlkZN1ov0pG6bDmvIaImjzXmofb0vq6Dd5A/s320/Digital+Chess+Clock.jpg" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">Digital Chess Clock - image credit - Wish</td></tr></tbody></table><div><br /></div><div>To be honest...the main reason I never finished the original chess clocks is because I was able to download an application for my mobile phone which worked well and cost me nothing...I also struggled to engineer the PCBS down to a sensible size...at the time - I wasn't particularly keen on surface mount technology and I was making PCBS from scratch. I can now use surface mount happily and I can have printed circuit boards made easily for a small cost.</div><div><br /></div><div>There is even a chess clock which uses seven segment displays which looks very well designed and realised but it is quite expensive:</div><div><br /></div><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto;"><tbody><tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-iJi4FlMFv5E/XshKCODGj2I/AAAAAAAAkjQ/UHIrmFGR41Yik5Xjv869GGS6awfKAHq-gCK4BGAsYHg/zmf-ii-black-digital-chess-clock-led-seven%2Bsegment.jpg" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="379" data-original-width="500" src="https://1.bp.blogspot.com/-iJi4FlMFv5E/XshKCODGj2I/AAAAAAAAkjQ/UHIrmFGR41Yik5Xjv869GGS6awfKAHq-gCK4BGAsYHg/s320/zmf-ii-black-digital-chess-clock-led-seven%2Bsegment.jpg" width="320" /></a></td></tr><tr><td class="tr-caption" style="text-align: center;">ZMF-II Digital Chess Clock - image credit: Chesshouse.com<br /></td></tr></tbody></table><div><br /></div><div>The ZMF-II is actually pretty close to what I would like to achieve however I have a few tricks to make it more useful than an average set of chess clocks. We can add wifi connectivity, sound and possibly video overlay of the clocks. I'm hoping the guys at coffee chess will like it....hopefully they will get in contact ;)</div><div><br /></div><div>Lets list the functions we will need:</div><div><ul style="text-align: left;"><li>Two displays</li><li>A method of indicating who's turn it is</li><li>A method of setting the time / starting / stopping time</li><li>A simple sound output device.</li><li>A method of powering the device</li></ul></div><div><div>Lets list the functions we would like to have:</div><div><ul style="text-align: left;"><li>Wifi or bluetooth connectivity</li><li>Video overlay of player clocks output to facilitate editing</li></ul></div></div><div>Lets list the Components I think we will need (this may change):</div><div><ul style="text-align: left;"><li>1x off / on slide switch</li><li>1x programming / settings button</li><li>2x move buttons</li><li>2x LEDS to display which player has to move</li><li>2x four digit seven segment displays</li><li>1x small speaker to provide limited audio</li><li>1x 18650 battery to provide power </li><li>1x 18650 charging circuit with USB C connector</li><li>An ESP32 or possibly an FPGA with a softcore...</li></ul><div>I possibly have all of the bits required in my electronics junk pile which is nice. Once we have the circuit working as required I'll design a PCB and get a permanent version of the circuit working. I may well design a laser cut or 3D printed case...</div></div><div><br /></div><div>I also should probably read up on the rules for chess clocks!</div><div><br /></div><div><a href="http://www.chesscorner.com/tutorial/chess_clock/chess_clock.htm" target="_blank">http://www.chesscorner.com/tutorial/chess_clock/chess_clock.htm</a><br /></div><div><br /></div><div>Well that is all for now - take care, Langster</div><div><br /></div><div><br /></div><div><div><br /></div><div><br /></div></div></div>Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-61277331196213760942019-09-29T23:34:00.002+01:002020-10-06T18:31:34.663+01:00More counters with ULX3S and using modules, simulators etc... Last post covered creating a one second counter in verilog and making an LED flash. In this post I wanted to cover how to make multiple counters. I mentioned in the previous post that multiple counters can be made without a degradation in speed or efficiency and they can be totally independent of each other - bold claims...lets see it done!<br />
<br />
Lets make a counter module but unlike before lets make it standalone code that can be used and modified without affecting the inputs and outputs - that way when we need a counter we can just re-use the counter module code and not have to re-write it every time...unless we have a specific requirement to do so.<br />
<br />
Here is a simple diagram of what I hope to achieve:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-AMtkq3cPpIA/XZEpcOxoLKI/AAAAAAAAiC8/KxayvWvnBMMOT8RCl3n8zIwSt7BzWpS2gCLcBGAsYHQ/s1600/counters%2Bdiagram.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="680" data-original-width="1143" height="380" src="https://1.bp.blogspot.com/-AMtkq3cPpIA/XZEpcOxoLKI/AAAAAAAAiC8/KxayvWvnBMMOT8RCl3n8zIwSt7BzWpS2gCLcBGAsYHQ/s640/counters%2Bdiagram.png" width="640" /></a></div>
<br />
We will have four LEDS changing state depending on the counter output. The clock is our input and the LEDS are the outputs. The part we need to write is the counter module, before we do that though lets think about what we would want in a counter - Lets discuss counter requirements:<br />
<br />
Range of the counter - How big a value will we need to count to before we need the count to reset and start again.<br />
<br />
Resolution of the counter - What units do we want to count in: Units, Tens, Hundreds, Thousands, Binary???<br />
<br />
Count direction - Do we want to count up from a value or count down from a value?<br />
<br />
Load - Do we want to be able to start counting from a value other than zero??<br />
<br />
Reset - Do we want to be able to reset the count at any time?<br />
<br />
Overflow - Do we want to be able to detect if the count reached the maximum value and then started again?<br />
<br />
What we are describing here is the behaviour of the counter. This is one way of coding in a hardware description language - The other method is known as structural where the structure of the logic gates needed to realise the function is described.<br />
<br />
Before the advent of microcontrollers and FPGA there was (and still is) a very popular integrated circuit that I used called the 74LS163. It was a four bit binary counter with a ripple carry output. That means it could count from zero to fifteen in binary when a positive edged pulse was detected at the CLK pin. Each time an edge is detected the count increased by 1. When the count reached 16 an output was set known as the ripple carry output or RCO. This allowed a designer to cascade the devices together to make a 32 bit counter or a 48 bit counter, or even a 64 bit counter. I'm going to write some verilog code which reproduces the behaviour of the 74LS163 just as an exercise.<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-rwOBSRasRsM/XZExDiu3zJI/AAAAAAAAiEg/P5WT-koYu9o23Iv7JA_7D1FT4VXqWqwVgCLcBGAsYHQ/s1600/74LS163%2BDiagram.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="432" data-original-width="575" height="240" src="https://1.bp.blogspot.com/-rwOBSRasRsM/XZExDiu3zJI/AAAAAAAAiEg/P5WT-koYu9o23Iv7JA_7D1FT4VXqWqwVgCLcBGAsYHQ/s320/74LS163%2BDiagram.png" width="320" /></a></div>
The datasheet for a 74LS163 is here just in case people are interested:<br />
<br />
<a href="http://users.ece.utexas.edu/~valvano/Datasheets/74LS163.pdf" target="_blank">74LS163 Datasheet</a><br />
<br />
The inputs for a 74LS163 are:<br />
<br />
Here is the verilog code to describe the 74LS163:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #888888;">// 74LS163 Binary counter module</span>
binary_counter(<span style="color: #008800; font-weight: bold;">input</span> a, b, c, d, enp, ent, load, clear, clk,
<span style="color: #008800; font-weight: bold;">output</span> qa, qb, qc, qd, rco );
<span style="color: #008800; font-weight: bold;">always</span> @(<span style="color: #008800; font-weight: bold;">posedge</span> clk)
<span style="color: #008800; font-weight: bold;">begin</span><span style="color: #888888;">//start the count on the rising clock edge</span>
<span style="color: #008800; font-weight: bold;">if</span> (!clear)
<span style="color: #008800; font-weight: bold;">begin</span>
{qd, qc, qb, qa} <=<span style="color: #005588; font-weight: bold;">4'b0</span>; <span style="color: #888888;">//if clear is low, set the count outputs to 0</span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">if</span> (clear &&!load)
<span style="color: #008800; font-weight: bold;">begin</span> <span style="color: #888888;">//if load is set low, set count output to match the input</span>
{qd, qc, qb, qa} <= {d,c,b,a};
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">if</span> (clear && load && enp && ent)
<span style="color: #008800; font-weight: bold;">begin</span><span style="color: #888888;">//if clear, load, ent and enp are set high, increment the count by one</span>
{qd, qc, qb, qa} <= {qd, qc, qb, qa} +<span style="color: #005588; font-weight: bold;">1</span>;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">assign</span> rco = ent ? qa && qb && qc && qd :<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// if the count has reached 15 and ent set high set rco high</span>
<span style="color: #008800; font-weight: bold;">endmodule</span></pre>
<br />
Lets explain the code:<br />
<br />
We have made a module called binary_counter. It has inputs called a, b, c, d, enp, ent, load, clear and clk. It also has outputs called qa, qb, qc, qd and rco. The module section details the inputs and the outputs of the module and their types.<br />
<br />
The next section always @(posedge clk) is similar to the main loop in a high level language. This is the description of the circuit that will be free running forever:<br />
<br />
When a positive clock edge is detected on the clk input the follwing checks are performed:<br />
<br />
Is the clear low? If it is low then set all the outputs to zero.<br />
<br />
Is the clear high and the load low? If this is true then set the outputs qa, qb qc and qd to match the inputs a, b, c, d.<br />
<br />
Is the clear high, load high enp high and ent high? If this is true then add one to the count value of bits on the outputs qa, qb, qc and qd.<br />
<br />
Has the count reached 15 and has there been another clock edge? If this is true set the outputs to zero and set rco high.<br />
<br />
If we were to flash this code to the ulx3s it would work...there is a little more code to write before we could use it. It isn't particularly practical though...If we needed a 4 bit binary counter we could just buy one and use it....not recreate it in FPGA fabric...that would be a bit of a waste of resources. The reason I've mentioned it is I want to illustrate the method of describing what is required.<br />
<br />
I have found two methods for writing verilog code. The first method is to calculate the logic function required, then draw a diagram of it (structural implementation) and then write verilog code.<br />
<br />
The other way is to draw a diagram which describes the behaviour of what is required and then write verilog code. Either method can be applied...I find the latter behavioural method easier.<br />
<br />
Going back to our requirements:<br />
<br />
Range of the counter - How big a value will we need to count to before we need the count to reset and start again.<br />
<br />
It actually doesn't matter. We can decide when to start, stop and reset the count!<br />
<br />
Resolution of the counter - What units do we want to count in: Units, Tens, Hundreds, Thousands, Binary???<br />
<br />
Again, it doesn't matter. We can decide what increments we use!<br />
<br />
Count direction - Do we want to count up from a value or count down from a value?<br />
<br />
This time it matters, we can write code which describes both behaviours but we will need an input to set the count direction.<br />
<br />
Load - Do we want to be able to start counting from a value other than zero??<br />
<br />
This function was useful when using discrete devices with fixed range and resolution. We don't need this anymore as we can have any range and resolution we like.<br />
<br />
Reset - Do we want to be able to reset the count at any time?<br />
<br />
Yes! We will need an input to be able to start the counter from zero.<br />
<br />
Overflow - Do we want to be able to detect if the count reached the maximum value and then started again?<br />
<br />
This function was useful when using discrete devices with fixed range and resolution. We don't need this anymore as we can have any range and resolution we like.<br />
<br />
Here is a diagram of what our counter would look like:<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-w7WyxcEc9YA/XZEpzV9nUUI/AAAAAAAAiDE/olHxAKWcnHISugYNakIc5WSCbKvxHQwwACLcBGAsYHQ/s1600/Counter%2Bmodule%2Bdiagram.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="432" data-original-width="771" height="358" src="https://1.bp.blogspot.com/-w7WyxcEc9YA/XZEpzV9nUUI/AAAAAAAAiDE/olHxAKWcnHISugYNakIc5WSCbKvxHQwwACLcBGAsYHQ/s640/Counter%2Bmodule%2Bdiagram.png" width="640" /></a></div>
From this we can write the module statement for our counter:<br />
<br />
<span style="color: #008800; font-weight: bold;">module</span><span style="color: #333333;"> up_down_counter(</span><br />
<pre style="color: #333333; line-height: 16.25px;"> <span style="color: #008800; font-weight: bold;">input</span> clk, <span style="color: #888888;">// 25 MHz clock input from the top module</span>
<span style="color: #008800; font-weight: bold;">input</span> reset, <span style="color: #888888;">// reset input from the top module</span>
<span style="color: #008800; font-weight: bold;">input</span> up_down, <span style="color: #888888;">// count up or down from the top module</span>
<span style="color: #008800; font-weight: bold;">output</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] count_output <span style="color: #888888;">// counter output to be passed to top module</span>
);</pre>
<br />
Next we need an internal signal to act as a register which stores the result of the count process depending on whether the count is up or down, whether the reset is active (high) or a clock edge has been detected...<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] count = <span style="color: #005588; font-weight: bold;">0</span>;</pre>
<br />
Now we need to write the code that describes the actual counting process:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #008800; font-weight: bold;">if</span>(reset)
count <= <span style="color: #005588; font-weight: bold;">0</span>;
<span style="color: #008800; font-weight: bold;">else</span> <span style="color: #008800; font-weight: bold;">if</span>(~up_down)
count <= count + <span style="color: #005588; font-weight: bold;">1</span>;
<span style="color: #008800; font-weight: bold;">else</span>
count <= count - <span style="color: #005588; font-weight: bold;">1</span>;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">assign</span> count_output = count;
<span style="color: #008800; font-weight: bold;">endmodule</span></pre>
<br />
Here is the entire code for the module just in case it is needed:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #008800; font-weight: bold;">module</span> up_down_counter(
<span style="color: #008800; font-weight: bold;">input</span> clk, <span style="color: #888888;">// 25 MHz clock input from the top module</span>
<span style="color: #008800; font-weight: bold;">input</span> reset, <span style="color: #888888;">// reset input from the top module</span>
<span style="color: #008800; font-weight: bold;">input</span> up_down, <span style="color: #888888;">// count up or down from the top module</span>
<span style="color: #008800; font-weight: bold;">output</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] count_output <span style="color: #888888;">// counter output to be passed to top module</span>
);
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] count = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the counter</span>
<span style="color: #008800; font-weight: bold;">always</span> @(<span style="color: #008800; font-weight: bold;">posedge</span> clk <span style="color: #008800; font-weight: bold;">or</span> <span style="color: #008800; font-weight: bold;">posedge</span> reset)
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #008800; font-weight: bold;">if</span>(reset)
count <= <span style="color: #005588; font-weight: bold;">0</span>;
<span style="color: #008800; font-weight: bold;">else</span> <span style="color: #008800; font-weight: bold;">if</span>(~up_down)
count <= count + <span style="color: #005588; font-weight: bold;">1</span>;
<span style="color: #008800; font-weight: bold;">else</span>
count <= count - <span style="color: #005588; font-weight: bold;">1</span>;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">assign</span> count_output = count;
<span style="color: #008800; font-weight: bold;">endmodule</span></pre>
<br />
So we have written a module which will count up or down to any value in unit increments...Copy and paste the code into a text file and call it up_down_counter.v<br />
<br />
I used this folder:<br />
<br />
C:\msys64\src\Alex\ulx3s\Multiple Counters<br />
<br />
Now we need to write some code that calls our new counter module and supply the modules with the inputs needed and then couple the outputs to something we can physically see...LEDS!<br />
Before we do that though we should really update our original diagram to reflect the module code we have written because it will help us write our top module.<br />
<br />
The up_down counter module looks like this in diagram form:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-zAmomV5ywDc/XZEqLNTgboI/AAAAAAAAiDM/Ha7ffV7Z1eMmOE8ojJys9J6Z3Ifg4ddngCLcBGAsYHQ/s1600/Our%2BCounter%2BModule%2BDiagram.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="214" data-original-width="698" height="122" src="https://1.bp.blogspot.com/-zAmomV5ywDc/XZEqLNTgboI/AAAAAAAAiDM/Ha7ffV7Z1eMmOE8ojJys9J6Z3Ifg4ddngCLcBGAsYHQ/s400/Our%2BCounter%2BModule%2BDiagram.png" width="400" /></a></div>
<br />
Now we are going to use this information to update the top level diagram to implement some verilog code which flashes the LEDS at different rates. Here is the diagram:<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-fkg-zOWG_2Q/XZEmX8o636I/AAAAAAAAiCs/bKm0luuFRYMJijWg7Lm8GxFAWA_kAMujACEwYBhgL/s1600/Full%2BCounter%2BDiagram.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="680" data-original-width="776" height="560" src="https://1.bp.blogspot.com/-fkg-zOWG_2Q/XZEmX8o636I/AAAAAAAAiCs/bKm0luuFRYMJijWg7Lm8GxFAWA_kAMujACEwYBhgL/s640/Full%2BCounter%2BDiagram.png" width="640" /></a></div>
From the diagram we can now work out what our top module inputs will be:<br />
<br />
Clock, Reset, Up / Down<br />
<br />
The outputs are LED 0, LED 1, LED 2 and LED 3<br />
<br />
From here we are going to write the top.v code which will call the counter module we wrote earlier and attach the inputs and outputs. Before we do that though it is a good idea to simulate the module code to make sure it works as intended.<br />
<br />
There are many simulation programs available for verilog. I decided to use the iverilog program which is well documented. The software is written and maintained by Stephen Williams and it is released under the GNU GPL license. I should also mention that I'm using the Windows 10 operating system...<br />
<br />
I downloaded it from here: <a href="http://bleyer.org/icarus/">http://bleyer.org/icarus/</a><br />
<br />
I then followed the instructions and read up some tutorials on how to use it. It wasn't too complicated!<br />
<br />
I used the instructions from here:<br />
<br />
<a href="https://www.swarthmore.edu/NatSci/mzucker1/e15_f2014/iverilog.html">https://www.swarthmore.edu/NatSci/mzucker1/e15_f2014/iverilog.html</a><br />
<br />
Make sure that iverilog is added to your path in Windows! Now we need to write some code which tests the module.<br />
<br />
This is known as a test bench and it is some more verilog code:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #888888;">// Testbench Verilog code for up-down counter</span>
<span style="color: #888888;">//`timescale 1ns/100ps</span>
<span style="color: #003366; font-weight: bold;">`include</span> <span style="background-color: #fff0f0;">"up_down_counter.v"</span> <span style="color: #888888;">//include the file which contains the module to be tested</span>
<span style="color: #888888;">// create a test bench module with the inputs and the output(s)</span>
<span style="color: #008800; font-weight: bold;">module</span> up_down_counter_testbench();
<span style="color: #333399; font-weight: bold;">reg</span> clk, reset,up_down;
<span style="color: #333399; font-weight: bold;">wire</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] count_output;
<span style="color: #888888;">// instantiate the module to be tested with inputs and output(s)</span>
up_down_counter counter_one
(clk, reset, up_down, count_output);
<span style="color: #888888;">// tell the simulator what we want the simulation file to be called</span>
<span style="color: #888888;">// and to get data for all variables</span>
<span style="color: #008800; font-weight: bold;">initial</span> <span style="color: #008800; font-weight: bold;">begin</span>
<span style="background-color: #ffaaaa; color: red;">$</span>dumpfile(<span style="background-color: #fff0f0;">"test.vcd"</span>);
<span style="background-color: #ffaaaa; color: red;">$</span>dumpvars;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #888888;">// monitor the variables so we can see some text output from the simulation</span>
<span style="color: #008800; font-weight: bold;">initial</span>
<span style="color: #007020;">$monitor</span>(<span style="background-color: #fff0f0;">"At time %t, count_output = %h (%0d)"</span>,
<span style="color: #007020;">$time</span>, count_output, count_output);
<span style="color: #888888;">// create a clock running for 10 seconds high and 10 seconds low</span>
<span style="color: #008800; font-weight: bold;">initial</span> <span style="color: #008800; font-weight: bold;">begin</span>
clk=<span style="color: #005588; font-weight: bold;">0</span>;
<span style="color: #008800; font-weight: bold;">forever</span> #<span style="color: #005588; font-weight: bold;">10</span> clk=~clk;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #888888;">//exercise the inputs at suitable points in time and finish the simulation</span>
<span style="color: #008800; font-weight: bold;">initial</span> <span style="color: #008800; font-weight: bold;">begin</span>
reset=<span style="color: #005588; font-weight: bold;">1</span>;
up_down=<span style="color: #005588; font-weight: bold;">0</span>;
#<span style="color: #005588; font-weight: bold;">20</span>;
reset=<span style="color: #005588; font-weight: bold;">0</span>;
#<span style="color: #005588; font-weight: bold;">120</span>;
up_down=<span style="color: #005588; font-weight: bold;">1</span>;
#<span style="color: #005588; font-weight: bold;">500</span>
<span style="color: #007020;">$finish</span>;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">endmodule</span></pre>
<br />
Create a text file called up_down_counter_tb.v and paste the above code into it. Save the file in the same directory as the other verilog code already written...I used:<br />
<br />
<span style="color: blue;">C:\msys64\src\Alex\ulx3s\Multiple Counters</span><br />
<br />
The comments for the code should be fairly self explanatory. Now it is time to run the simulation:<br />
<br />
Open a command prompt window and navigate to the folder:<br />
<span style="color: blue;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-ijdfHq-OhlM/XZEq3A_wFTI/AAAAAAAAiDY/nAAgy8mehTYcoaQFrSTwdTlpbGQqkLv4ACLcBGAsYHQ/s1600/dos%2Bprompt%2Bfor%2Bsimulation.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="301" data-original-width="917" height="210" src="https://1.bp.blogspot.com/-ijdfHq-OhlM/XZEq3A_wFTI/AAAAAAAAiDY/nAAgy8mehTYcoaQFrSTwdTlpbGQqkLv4ACLcBGAsYHQ/s640/dos%2Bprompt%2Bfor%2Bsimulation.png" width="640" /></a></div>
<br />
Next type the following command:<br />
<br />
<span style="color: blue;">iverilog -o up_down_counter_tb.vvp up_down_counter_tb.v</span><br />
<br />
The command creates an iverilog simulation file with a vvp extension from our test bench code.<br />
Next type the command:<br />
<br />
vvp up_down_counter_tb.vvp<br />
<br />
You should see the following output:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-kFLddBfx7V0/XZErAtxKn9I/AAAAAAAAiDc/OHZzp8DqbIwg5MggQzl3eBsjOBhfy5mrQCLcBGAsYHQ/s1600/iverilog%2Bvvp%2Boutput.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="589" data-original-width="917" height="410" src="https://1.bp.blogspot.com/-kFLddBfx7V0/XZErAtxKn9I/AAAAAAAAiDc/OHZzp8DqbIwg5MggQzl3eBsjOBhfy5mrQCLcBGAsYHQ/s640/iverilog%2Bvvp%2Boutput.png" width="640" /></a></div>
<br />
A file test.vcd has been created and we can now use this to look at the results of the simulation using a piece of software called GTKWave...<br />
<br />
Type the following command:<br />
<br />
<span style="color: blue;">gtkwave test.vcd</span><br />
<br />
The GTKWave program should load:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjzayqQYrIkEXcJ9xsYDBwKX5MRuVIbrj4lMsboX-55_zK09o9Q3dozSl1l-laOe_m2S69C17aCTDPeN8WvJSg2l5eTxciHb8qCvwLoDCoarA2UG8ZqraRprxCbNqBZpTwMOLgBNNf9jnU/s1600/GTKWave+loaded.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="632" data-original-width="1002" height="402" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjzayqQYrIkEXcJ9xsYDBwKX5MRuVIbrj4lMsboX-55_zK09o9Q3dozSl1l-laOe_m2S69C17aCTDPeN8WvJSg2l5eTxciHb8qCvwLoDCoarA2UG8ZqraRprxCbNqBZpTwMOLgBNNf9jnU/s640/GTKWave+loaded.png" width="640" /></a></div>
<br />
Click on the module in the SST box in the top left corner to add the signals:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiHrRBREt4dT2fDc5PPk5xkwxZiXMdz48WUb-6EdCj4JXNUaosq7QQUGbWrN_AGs2qBDF_JGyjrFTvPs8U6YKv5zTPqNRvX88MZ1F8u3O-8W4wg0J_VtjvaqbEvSE8nKLbBjj5y-W2M4TU/s1600/added+the+signals+to+GTKWave.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="632" data-original-width="1002" height="402" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiHrRBREt4dT2fDc5PPk5xkwxZiXMdz48WUb-6EdCj4JXNUaosq7QQUGbWrN_AGs2qBDF_JGyjrFTvPs8U6YKv5zTPqNRvX88MZ1F8u3O-8W4wg0J_VtjvaqbEvSE8nKLbBjj5y-W2M4TU/s640/added+the+signals+to+GTKWave.png" width="640" /></a></div>
<br />
Double click on each signal to display it's simulated waveform:<br />
Click on the magnifying glass icons to expand the traces to see the output!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-pBk1pnWDdqg/XZEreZEr_nI/AAAAAAAAiD0/GvoVD-gouEc81mNOb6zwRlWQWT2eIyo9ACLcBGAsYHQ/s1600/Check%2Bthe%2Bcount.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="632" data-original-width="1002" height="402" src="https://1.bp.blogspot.com/-pBk1pnWDdqg/XZEreZEr_nI/AAAAAAAAiD0/GvoVD-gouEc81mNOb6zwRlWQWT2eIyo9ACLcBGAsYHQ/s640/Check%2Bthe%2Bcount.png" width="640" /></a></div>
<br />
Our code works! We can see that while the reset is high no counting occurs. When the reset is low and up_down is low the count increases, when up_down is high the count reduces...<br />
<br />
Simulation is a very useful tool to check that the code we have written works as intended...Lets get on with writing the top module code to complete what we set out to do in the first place. It will be quite similar to the test bench code already written.<br />
<br />
Create a text file called top.v and save it in the same folder as the other files:<br />
<br />
<span style="color: blue;">C:\msys64\src\Alex\ulx3s\Multiple Counters</span><br />
<br />
Here comes the code:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #008800; font-weight: bold;">module</span> top(
<span style="color: #008800; font-weight: bold;">input</span> clk_25mhz, <span style="color: #888888;">// 25 MHz clock input</span>
<span style="color: #008800; font-weight: bold;">output</span> [<span style="color: #005588; font-weight: bold;">3</span>:<span style="color: #005588; font-weight: bold;">0</span>] led, <span style="color: #888888;">// 4 Bit LED Output register</span>
<span style="color: #008800; font-weight: bold;">output</span> wifi_gpio0 <span style="color: #888888;">// Output for Wifi enable </span>
);
<span style="color: #888888;">//internal signals</span>
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] counter_one_output; <span style="color: #888888;">// Register for the first counter output</span>
<span style="color: #333399; font-weight: bold;">reg</span> reset_counter_one; <span style="color: #888888;">// Register for the reset on the first counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> up_down_counter_one=<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the up_down on the first counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] counter_two_output; <span style="color: #888888;">// Register for the second counter output</span>
<span style="color: #333399; font-weight: bold;">reg</span> reset_counter_two; <span style="color: #888888;">// Register for the reset on the second counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> up_down_counter_two=<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the up_down on the second counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] counter_three_output; <span style="color: #888888;">// Register for the third counter output</span>
<span style="color: #333399; font-weight: bold;">reg</span> reset_counter_three; <span style="color: #888888;">// Register for the reset on the third counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> up_down_counter_three=<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the up_down on the third counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] counter_four_output; <span style="color: #888888;">// Register for the fourth counter output</span>
<span style="color: #333399; font-weight: bold;">reg</span> reset_counter_four; <span style="color: #888888;">// Register for the reset on the fourth counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> up_down_counter_four=<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the up_down on the fourth counter </span>
<span style="color: #888888;">//first counter module instantiation</span>
up_down_counter one_sec_counter(
.clk(clk_25mhz),
.reset(reset_counter_one),
.up_down(up_down_counter_one),
.count_output(counter_one_output)
);
<span style="color: #888888;">//second counter module instantiation</span>
up_down_counter half_sec_counter(
.clk(clk_25mhz),
.reset(reset_counter_two),
.up_down(up_down_counter_two),
.count_output(counter_two_output)
);
<span style="color: #888888;">//third counter module instantiation</span>
up_down_counter quarter_sec_counter(
.clk(clk_25mhz),
.reset(reset_counter_three),
.up_down(up_down_counter_three),
.count_output(counter_three_output)
);
<span style="color: #888888;">//fourth counter module instantiation</span>
up_down_counter eighth_sec_counter(
.clk(clk_25mhz),
.reset(reset_counter_four),
.up_down(up_down_counter_four),
.count_output(counter_four_output)
);
<span style="color: #888888;">// initial settings</span>
<span style="color: #888888;">// resets all high to start counts at same time</span>
<span style="color: #888888;">// up_down all low to ensure we count up not down</span>
<span style="color: #008800; font-weight: bold;">initial</span> <span style="color: #008800; font-weight: bold;">begin</span>
reset_counter_one = <span style="color: #005588; font-weight: bold;">1</span>;
reset_counter_two = <span style="color: #005588; font-weight: bold;">1</span>;
reset_counter_three = <span style="color: #005588; font-weight: bold;">1</span>;
reset_counter_four = <span style="color: #005588; font-weight: bold;">1</span>;
up_down_counter_one = <span style="color: #005588; font-weight: bold;">0</span>;
up_down_counter_two = <span style="color: #005588; font-weight: bold;">0</span>;
up_down_counter_three = <span style="color: #005588; font-weight: bold;">0</span>;
up_down_counter_four = <span style="color: #005588; font-weight: bold;">0</span>;
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">always</span> @(<span style="color: #008800; font-weight: bold;">posedge</span> clk_25mhz) <span style="color: #888888;">// react on the positive clock edge</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #888888;">//counter one set to count for one second </span>
<span style="color: #008800; font-weight: bold;">if</span> (counter_one_output == <span style="color: #005588; font-weight: bold;">25000000</span>) <span style="color: #888888;">// If the count register has reached 25 million </span>
<span style="color: #008800; font-weight: bold;">begin</span>
led[<span style="color: #005588; font-weight: bold;">0</span>] <= ~led[<span style="color: #005588; font-weight: bold;">0</span>]; <span style="color: #888888;">// toggle the LED[0] </span>
reset_counter_one = <span style="color: #005588; font-weight: bold;">1</span>; <span style="color: #888888;">// set counter one back to zero </span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">else</span> reset_counter_one = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// allow counter one to continue</span>
<span style="color: #888888;">//counter two set to count for 0.5 seconds </span>
<span style="color: #008800; font-weight: bold;">if</span> (counter_two_output == <span style="color: #005588; font-weight: bold;">12500000</span>) <span style="color: #888888;">// If the count register has reached 12.5 million </span>
<span style="color: #008800; font-weight: bold;">begin</span>
led[<span style="color: #005588; font-weight: bold;">1</span>] <= ~led[<span style="color: #005588; font-weight: bold;">1</span>]; <span style="color: #888888;">// toggle the LED[1] </span>
reset_counter_two = <span style="color: #005588; font-weight: bold;">1</span>; <span style="color: #888888;">// set counter two back to zero </span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">else</span> reset_counter_two = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// allow counter two to continue </span>
<span style="color: #888888;">//counter three set to count for 0.25 seconds</span>
<span style="color: #008800; font-weight: bold;">if</span> (counter_three_output == <span style="color: #005588; font-weight: bold;">6250000</span>) <span style="color: #888888;">// If the count register has reached 6.25 million </span>
<span style="color: #008800; font-weight: bold;">begin</span>
led[<span style="color: #005588; font-weight: bold;">2</span>] <= ~led[<span style="color: #005588; font-weight: bold;">2</span>]; <span style="color: #888888;">// toggle the LED[2] </span>
reset_counter_three = <span style="color: #005588; font-weight: bold;">1</span>; <span style="color: #888888;">// set counter three back to zero </span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">else</span> reset_counter_three = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// allow counter three to continue</span>
<span style="color: #888888;">//counter four set to count for 0.125 seconds </span>
<span style="color: #008800; font-weight: bold;">if</span> (counter_four_output == <span style="color: #005588; font-weight: bold;">3125000</span>) <span style="color: #888888;">// If the count register has reached 3.125 million </span>
<span style="color: #008800; font-weight: bold;">begin</span>
led[<span style="color: #005588; font-weight: bold;">3</span>] <= ~led[<span style="color: #005588; font-weight: bold;">3</span>]; <span style="color: #888888;">// toggle the LED[0] </span>
reset_counter_four = <span style="color: #005588; font-weight: bold;">1</span>; <span style="color: #888888;">// set counter four back to zero </span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">else</span> reset_counter_four = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// allow counter four to continue</span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">assign</span> wifi_gpio0 = <span style="color: #005588; font-weight: bold;">1'b1</span>; <span style="color: #888888;">//set the wifi_gpio High</span>
<span style="color: #008800; font-weight: bold;">endmodule</span></pre>
<br />
Copy and paste the above code into top.v and save it in the same directory as before.<br />
Hopefully the code is fairly easy to understand...Here are the critical sections:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #008800; font-weight: bold;">module</span> top(
<span style="color: #008800; font-weight: bold;">input</span> clk_25mhz, <span style="color: #888888;">// 25 MHz clock input</span>
<span style="color: #008800; font-weight: bold;">output</span> [<span style="color: #005588; font-weight: bold;">3</span>:<span style="color: #005588; font-weight: bold;">0</span>] led, <span style="color: #888888;">// 4 Bit LED Output register</span>
<span style="color: #008800; font-weight: bold;">output</span> wifi_gpio0<span style="color: #888888;">// Output for Wifi enable</span>
);</pre>
<br />
The first part is the module statement with the inputs and the outputs - this module is called top because it is the top module and it has a clock input, an eight bit register called led and a single bit output for the wifi enable.<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"> <span style="color: #888888;">//internal signals</span>
<span style="color: #333399; font-weight: bold;">reg</span> [<span style="color: #005588; font-weight: bold;">24</span>:<span style="color: #005588; font-weight: bold;">0</span>] counter_one_output; <span style="color: #888888;">// Register for the first counter output</span>
<span style="color: #333399; font-weight: bold;">reg</span> reset_counter_one; <span style="color: #888888;">// Register for the reset on the first counter</span>
<span style="color: #333399; font-weight: bold;">reg</span> up_down_counter_one=<span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// Register for the up_down on the first counter</span></pre>
<br />
The above section details the internal signals that are needed to be passed to and from the up_down counter<br />
module:<br />
<br />
A 24 bit counter register is needed to take and store the output from the up_down counter module<br />
<br />
A reset register is needed to set the reset input inside the up_down counter module<br />
<br />
An up_down_counter register is needed to set the up_down input inside the up_down counter module<br />
<br />
This is repeated four times as there are four counter modules instantiated. The code for instantiating the module is below:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;">up_down_counter one_sec_counter(
.clk(clk_25mhz),
.reset(reset_counter_one),
.up_down(up_down_counter_one),
.count_output(counter_one_output)
);</pre>
<br />
The above code works like this:<br />
<br />
Make a counter from the code already written in up_down_counter.v - call it one_sec_counter<br />
<br />
connect the clk input inside up_down_counter.v to clk_25mhz (the main clock source on the board)<br />
<br />
connect the reset input inside up_down_counter.v to the internal register reset_counter_one<br />
<br />
connect the up_down input inside up_down_counter.v to the internal register up_down_counter_one<br />
<br />
connect the count_output output inside up_down_counter to the internal register counter_one_output<br />
<br />
Because we want the reset and up_down inputs to react at the same time we set them initially:<br />
<br />
<span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">initial </span><span class="hljs-keyword" style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; font-weight: 700; white-space: pre;">BEGIN</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">
reset_counter_one = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">1</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
reset_counter_two = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">1</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
reset_counter_three = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">1</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
reset_counter_four = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">1</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
up_down_counter_one = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">0</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
up_down_counter_two = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">0</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
up_down_counter_three = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">0</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
up_down_counter_four = </span><span class="hljs-number" style="color: #008800; font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">0</span><span style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; white-space: pre;">;
</span><span class="hljs-keyword" style="font-family: "consolas" , "menlo" , "monaco" , "lucida console" , "liberation mono" , "dejavu sans mono" , "bitstream vera sans mono" , "courier new" , monospace , serif; font-weight: 700; white-space: pre;">END</span><br />
<br />
Finally we have the code which makes the FPGA react when the counters have reached a defined count:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #008800; font-weight: bold;">always</span> @(<span style="color: #008800; font-weight: bold;">posedge</span> clk_25mhz) <span style="color: #888888;">// react on the positive clock edge</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #888888;">//counter one set to count for one second</span>
<span style="color: #008800; font-weight: bold;">if</span> (counter_one_output == <span style="color: #005588; font-weight: bold;">25000000</span>) <span style="color: #888888;">// If the count register has reached 25 million</span>
<span style="color: #008800; font-weight: bold;">begin</span>
led[<span style="color: #005588; font-weight: bold;">0</span>] <= ~led[<span style="color: #005588; font-weight: bold;">0</span>]; <span style="color: #888888;">// toggle the LED[0]</span>
reset_counter_one = <span style="color: #005588; font-weight: bold;">1</span>; <span style="color: #888888;">// set counter one back to zero</span>
<span style="color: #008800; font-weight: bold;">end</span>
<span style="color: #008800; font-weight: bold;">else</span> reset_counter_one = <span style="color: #005588; font-weight: bold;">0</span>; <span style="color: #888888;">// allow counter one to continue</span></pre>
<br />
When counter one has reached twenty-five million (one second) toggle led[0] to its alternative state, reset the count<br />
<br />
If counter one hasn't reached twenty-five million don't reset the count...<br />
Why twenty-five million? Well....25 MHz is the external clock frequency...that means the external clock oscillates twenty-five million times per second so if we want a count every second we need to count twenty-five million clock edges...<br />
<br />
Lets upload the code to the board - fire up ConEmu.exe (Windows) and navigate to the folder:<br />
<br />
C:\msys64\src\Alex\ulx3s\Multiple Counters<br />
<br />
Remember that the board I'm working with has an ECP5 45F Lattice Semiconductor FPGA so the commands are tailored to it. If you are working with a ECP5 12F board or an ECP5 85F board you will need to change to commands appropriately:<br />
<br />
Type the following command into the console:<br />
<br />
<span style="color: blue;">apio build --board ulx3s-45f</span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-ph3B2ut7AJ8/XZEsNhBpmfI/AAAAAAAAiEE/wCaZTpG4CyIuK7N7saRXKksqgbZLRAhjQCLcBGAsYHQ/s1600/apio%2Bbuild.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="359" data-original-width="1280" height="178" src="https://1.bp.blogspot.com/-ph3B2ut7AJ8/XZEsNhBpmfI/AAAAAAAAiEE/wCaZTpG4CyIuK7N7saRXKksqgbZLRAhjQCLcBGAsYHQ/s640/apio%2Bbuild.png" width="640" /></a></div>
<br />
Ignore the warnings ;)<br />
<br />
Make sure you have the ULX3S development board connected via USB!<br />
<br />
Now lets upload the bitstream to the ULX3S development board:<br />
<br />
<span style="color: blue;">apio upload --board ulx3s-45f</span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-G7z0bmAhTNw/XZEsWyJAYgI/AAAAAAAAiEI/5bj1qKQ5CNgcrAmeH_eY3d7tC3FarQcnwCLcBGAsYHQ/s1600/upload%2Bto%2Bulx3s.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="359" data-original-width="1280" height="178" src="https://1.bp.blogspot.com/-G7z0bmAhTNw/XZEsWyJAYgI/AAAAAAAAiEI/5bj1qKQ5CNgcrAmeH_eY3d7tC3FarQcnwCLcBGAsYHQ/s640/upload%2Bto%2Bulx3s.png" width="640" /></a></div>
<br />
Finally clean up the directory:<br />
<br />
<span style="color: blue;">apio clean</span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-03Pn0RtpzGM/XZEsbKAdv-I/AAAAAAAAiEM/MuEVWK21nDAyoeCAFGQRoEw2d4whf49IgCLcBGAsYHQ/s1600/apio_clean.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="359" data-original-width="1280" height="178" src="https://1.bp.blogspot.com/-03Pn0RtpzGM/XZEsbKAdv-I/AAAAAAAAiEM/MuEVWK21nDAyoeCAFGQRoEw2d4whf49IgCLcBGAsYHQ/s640/apio_clean.png" width="640" /></a></div>
<br />
If all went according to plan you should see the leds on the ULX3S board flashing!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<iframe allowfullscreen="" class="YOUTUBE-iframe-video" data-thumbnail-src="https://i.ytimg.com/vi/zC9IfWz-130/0.jpg" frameborder="0" height="266" src="https://www.youtube.com/embed/zC9IfWz-130?feature=player_embedded" width="320"></iframe></div>
<br />
Well...that was a lot of work! Apologies for the really long post. There was a lot to get through! Next post will be something simple and more fun hopefully!<br />
<br />
Cheers for now - Langster!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-25960386786460992552019-09-08T20:21:00.000+01:002019-09-15T12:52:05.892+01:00Counters in Verilog with the ULX3SIt's time for another learning experience with the ULX3S. When using FPGAS or Microcontrollers in general it's very useful to generate and use counters. Counters as their name suggest are ways time can be added to logic circuits. If you wanted an LED to flash once a second use a counter. If you want several LEDS to flash at different rates independently use several counters...If you want to ensure an event occurs at a specific point in time...use a counter.<br />
<br />
Counters in FPGA are particularly cool as you can have as many of them as you like or need, unlike microcontrollers. The other thing is that the counters are all independent of each other in an FPGA. So multiple counters can be used to control things without affecting the operating of anything else...that's very hard to achieve with a microcontroller...the program flow is always linear.<br />
<br />
Lets make a counter flash an LED once a second. In order to do that we need to know a couple of things:<br />
<br />
What is the clock speed of the oscillator used on the ULX3S development board?<br />
What is the control logic for the LEDS (active high or active low)?<br />
<br />
We can find these answers either from the schematic of the ULX3S or from the constraints file. Both of which are helpfully available here:<br />
<br />
<a href="https://github.com/emard/ulx3s">https://github.com/emard/ulx3s</a><br />
<br />
<a href="https://github.com/emard/ulx3s-examples/blob/master/README.md">https://github.com/emard/ulx3s-examples/blob/master/README.md</a><br />
<br />
The schematic is broken down into several pages and was created in KiCad. There is also a PDF version here:<br />
<br />
<a href="https://github.com/emard/ulx3s/blob/master/doc/schematics.pdf">https://github.com/emard/ulx3s/blob/master/doc/schematics.pdf</a><br />
<br />
We are interested in page 4 called 'Blinkey' and page 6 called 'USB'. Blinkey shows the eight LEDS referenced from zero to seven in the centre of the page four in cell B,3. The LEDS are common ground connected which means they are 'active high' - A control signal from 'Bank 7' the FPGA needs to be high in order to get an LED to turn on. The current limiting resistors used are 549 ohms so with 3.3 Vdc logic the current flowing through each LED when on will be 6 mA - bright enough! I've used FPGA development boards in the past where the IO was active low so its useful information to know.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-GgV2cdQh9t8/XXUkxb-p9FI/AAAAAAAAh3k/GDkJrZV2EqYiRA2nJs7NjBJQ82zIEBHuACLcBGAs/s1600/LED%2Bschematic%2Bsheet%2BULX3S.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="768" data-original-width="1113" height="440" src="https://1.bp.blogspot.com/-GgV2cdQh9t8/XXUkxb-p9FI/AAAAAAAAh3k/GDkJrZV2EqYiRA2nJs7NjBJQ82zIEBHuACLcBGAs/s640/LED%2Bschematic%2Bsheet%2BULX3S.png" width="640" /></a></div>
<br />
The oscillator is in cell A, 3 on the top middle of the page and it is referenced as being 25 MHz - also useful to know. It is of course possible to use phase lock loops to generate faster clock signals if that is what is required.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-BoraQgO0ywA/XXUk2zDb21I/AAAAAAAAh3o/aNIu34-Z34MVxVxkd2HQ73TIu9gsJ_sCwCLcBGAs/s1600/USB%2Bschematic%2Bsheet%2BULX3S.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="761" data-original-width="1103" height="440" src="https://1.bp.blogspot.com/-BoraQgO0ywA/XXUk2zDb21I/AAAAAAAAh3o/aNIu34-Z34MVxVxkd2HQ73TIu9gsJ_sCwCLcBGAs/s640/USB%2Bschematic%2Bsheet%2BULX3S.png" width="640" /></a></div>
<br />
The User Constraints File or UCF as it is sometimes referred to is a list of information which tells the software which pins on the FPGA are connected to what and more usefully how they are referred to. We could write our own UCF file if we wanted to and for complicated designs or if we had created our own circuit with an FPGA we would have to write our own. Helpfully the board designer of the ulx3s (EMARD) has written one for us. When we come to write the verilog code we can use the same naming convention and that way we don't have to write our own UCF file.<br />
<br />
The sections we are interested in are:<br />
<br />
<span style="font-family: "courier new" , "courier" , monospace;"># The clock "usb" and "gpdi" sheet</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "clk_25mhz" SITE "G2";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "clk_25mhz" PULLMODE=NONE IO_TYPE=LVCMOS33;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">FREQUENCY PORT "clk_25mhz" 25 MHZ;</span><br />
<br />
and<br />
<br />
<span style="font-family: "courier new" , "courier" , monospace;">## LED indicators "blinkey" and "gpio" sheet</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[7]" SITE "H3";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[6]" SITE "E1";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[5]" SITE "E2";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[4]" SITE "D1";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[3]" SITE "D2";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[2]" SITE "C1";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[1]" SITE "C2";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP "led[0]" SITE "B2";</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT "led[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4;</span><br />
<br />
Just for reference a hash ( '#' ) symbol before a line means that the text after that is a comment<br />
<span style="font-family: "courier new" , "courier" , monospace;"><br /></span>
<span style="font-family: "courier new" , "courier" , monospace;">LOCATE COMP </span><span style="font-family: inherit;">means to locate the drive logic to a particular section within the FPGA fabric.</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IOBUF PORT </span><span style="font-family: inherit;">means the line is to be configured as a buffered input or output..</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">PULLMODE=NONE </span><span style="font-family: inherit;">means the line will not have an internal pull up or pull down resistor enabled.</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">IO_TYPE=LVCMOS33 </span><span style="font-family: inherit;">means the line will be configured to be low voltage CMOS at 3.3 Vdc.</span><br />
<span style="font-family: "courier new" , "courier" , monospace;">DRIVE=4 </span><span style="font-family: inherit;">means the line will be able to source 16 mA of current. Drive 1 = 4 mA, Drive 2 = 8 mA, Drive 3 = 12 mA and finally drive 4 - 16 mA</span><br />
<span style="font-family: inherit;"><br /></span>
When we write the verilog code we need to refer to the clock as <span style="font-family: "courier new" , "courier" , monospace;">clk_25mhz</span> and the individual leds as <span style="font-family: "courier new" , "courier" , monospace;">led[0]</span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><br /></span>
<span style="font-family: inherit;">I was really hoping to use a program called IceStudio to program the ulx3s as it is essentially a graphical front end for apio which collates the usage of Yosys, Ptrellis and NextPNR. Unfortunately the developers of IceStudio do not wish to add the ulx3s to the list of supported development boards. They have their reasons for doing that. I suspect it would be possible to fork IceStudio to work with ULX3S but I'm not going to do that as:</span><br />
<ol>
<li><span style="font-family: inherit;">I don't have the skill!</span></li>
<li><span style="font-family: inherit;">I don't have the time to learn the skill!</span></li>
<li><span style="font-family: inherit;">I don't want to annoy the IceStudio developers because they are nice guys and have worked hard.</span></li>
</ol>
<span style="font-family: inherit;">I can use the program to demonstrate what we are going to do though...very useful. I find graphical information easier to pick up over seeing lines of HDL Syntax.</span><br />
<span style="font-family: inherit;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-s7sOdFe_t4g/XXVFbHJ1xNI/AAAAAAAAh34/XjvSNRGWMf8lsvVTYVcQtnN6DayPmajewCLcBGAs/s1600/ICEstudio%2Bcounter.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="652" data-original-width="946" height="440" src="https://1.bp.blogspot.com/-s7sOdFe_t4g/XXVFbHJ1xNI/AAAAAAAAh34/XjvSNRGWMf8lsvVTYVcQtnN6DayPmajewCLcBGAs/s640/ICEstudio%2Bcounter.png" width="640" /></a></div>
<span style="font-family: inherit;"><br /></span>
The diagram is meant to show that we have one input called clk_25mhz, we have two outputs called LED and wifi_gpio.<br />
<br />
The blue box labelled PrescalerN is some pre-written code which basically is a verilog counter module. It will take the clock input, count how many clock pulses there are and when it has reached 25 000 000 counts it will send a signal to the LED output. The wifi_gpio output will be set high.<br />
<br />
In verilog code this looks like this:<br />
<br />
<div style="background-attachment: initial; background-clip: initial; background-image: initial; background-origin: initial; background-position: initial; background-repeat: initial; background-size: initial; border: solid gray; overflow: auto; padding: 0.2em 0.6em; width: auto;">
<pre style="line-height: 125%; margin: 0px;"><span style="background-color: white; color: #008800; font-weight: bold;">module</span><span style="background-color: white;"> top (
</span><span style="background-color: white; color: #008800; font-weight: bold;">input</span><span style="background-color: white;"> clk_25mhz, </span><span style="background-color: white; color: #888888;">// 25 MHz clock input </span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">output</span><span style="background-color: white;"> </span><span style="background-color: white; color: #333399; font-weight: bold;">reg</span><span style="background-color: white;"> led [</span><span style="background-color: white; color: #005588; font-weight: bold;">7</span><span style="background-color: white; color: #333333;">:</span><span style="background-color: white; color: #005588; font-weight: bold;">0</span><span style="background-color: white;">] </span><span style="background-color: white; color: #333333;">=</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">1'b0</span><span style="background-color: white;">, </span><span style="background-color: white; color: #888888;">// 8 Bit LED Output register, set LED[0] to be in a predefined state</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">output</span><span style="background-color: white;"> wifi_gpio0 </span><span style="background-color: white; color: #888888;">// Output for Wifi enable </span><span style="background-color: white;">
);
</span><span style="background-color: white; color: #333399; font-weight: bold;">reg</span><span style="background-color: white;"> [</span><span style="background-color: white; color: #005588; font-weight: bold;">24</span><span style="background-color: white; color: #333333;">:</span><span style="background-color: white; color: #005588; font-weight: bold;">0</span><span style="background-color: white;">] count </span><span style="background-color: white; color: #333333;">=</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">0</span><span style="background-color: white;">; </span><span style="background-color: white; color: #888888;">// Register for the counter</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">always</span><span style="background-color: white;"> @(</span><span style="background-color: white; color: #008800; font-weight: bold;">posedge</span><span style="background-color: white;"> clk_25mhz) </span><span style="background-color: white; color: #888888;">// Interrupt at the positive 25 MHz clock edge</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">begin</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">if</span><span style="background-color: white;"> (count </span><span style="background-color: white; color: #333333;">==</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">25000000</span><span style="background-color: white;">) </span><span style="background-color: white; color: #888888;">// If the count register has reached 25 million</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">begin</span><span style="background-color: white;">
led0 </span><span style="background-color: white; color: #333333;"><=</span><span style="background-color: white;"> </span><span style="background-color: white; color: #333333;">~</span><span style="background-color: white;">led0; </span><span style="background-color: white; color: #888888;">// toggle the LED[0] On and OFF with a one second interval.</span><span style="background-color: white;">
count </span><span style="background-color: white; color: #333333;"><=</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">1'b0</span><span style="background-color: white;">; </span><span style="background-color: white; color: #888888;">// and reset the count to 1 (binary) </span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">end</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">else</span><span style="background-color: white;"> </span><span style="background-color: white; color: #888888;">// else</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">begin</span><span style="background-color: white;">
count </span><span style="background-color: white; color: #333333;"><=</span><span style="background-color: white;"> count </span><span style="background-color: white; color: #333333;">+</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">1</span><span style="background-color: white; color: #0000dd; font-weight: bold;">'d1</span><span style="background-color: white;">; </span><span style="background-color: white; color: #888888;">// increment the count by 1</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">end</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">end</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">assign</span><span style="background-color: white;"> wifi_gpio0 </span><span style="background-color: white; color: #333333;">=</span><span style="background-color: white;"> </span><span style="background-color: white; color: #005588; font-weight: bold;">1'b1</span><span style="background-color: white;">; </span><span style="background-color: white; color: #888888;">//set the wifi_gpio High</span><span style="background-color: white;">
</span><span style="background-color: white; color: #008800; font-weight: bold;">endmodule</span>
</pre>
</div>
<br />
The code should be fairly easy to follow. The wifi_gpio input is only needed if your board has an ESP32. The command enables the ESP32 so code can be pushed over wifi. I haven't been doing that at the moment although I should! I'm fairly certain the code will work, I would like to have tested it and in later posts I will be looking into open source verification software like verilator and GTKwave. These programs allow one to simulate verilog code and show how the inputs and outputs will respond.
<br />
<br />
Lets save the text file (call it top.v) somewhere sensible I chose:
C:\msys64\src\Alex\ulx3s\One Second Counter<br />
<div>
<br /></div>
<div>
Next copy in the constraints file and apio.ini and fire up ConEmu.exe (Windows) and navigate to the folder we just made. It's also at this point that I should state that the board I'm working with has an ECP5 Lattice Semiconductor FPGA so the commands are tailored to it. If you are working with a ECP 12F board or an ECP 85F board you will need to change to commands appropriately.<br />
<br />
<a href="https://1.bp.blogspot.com/-BGPiPt7QSGk/XXVOCVJbNZI/AAAAAAAAh4E/4hrql2ay420R3MOcJK5fQRulm5GQgQSqgCLcBGAs/s1600/ConEmu%2Bin%2Bdirectory.png"><img border="0" src="https://1.bp.blogspot.com/-BGPiPt7QSGk/XXVOCVJbNZI/AAAAAAAAh4E/4hrql2ay420R3MOcJK5fQRulm5GQgQSqgCLcBGAs/s640/ConEmu%2Bin%2Bdirectory.png" /></a>
</div>
<div>
<br /></div>
<div>
Type the following command to turn the verilog code in top.v into the bit file ready for uploading to <br />
the ulx3s development board: </div>
<div>
<br /></div>
<div>
<span style="color: blue;">apio build --board ulx3s-45f </span></div>
<div>
<br /></div>
<div>
The output should look like this:</div>
<div>
<br />
<a href="https://1.bp.blogspot.com/-faBWlGLKxkg/XXVOHuUWT2I/AAAAAAAAh4I/kqPYfzjvOGkl-qFdyiANSPrrrb48FQ0cACLcBGAs/s1600/build%2Bsuccess.png"><img border="0" src="https://1.bp.blogspot.com/-faBWlGLKxkg/XXVOHuUWT2I/AAAAAAAAh4I/kqPYfzjvOGkl-qFdyiANSPrrrb48FQ0cACLcBGAs/s640/build%2Bsuccess.png" /></a>
Ignore the warnings...
Plug in the ulx3s into your computer using a microUSB cable - exciting times!
</div>
<div>
<br /></div>
<div>
Next lets upload to the ulx3s development board: </div>
<div>
<br /></div>
<div>
<span style="color: blue;">apio upload --board ulx3s-45f </span></div>
<div>
<br /></div>
<div>
The output should look like this:</div>
<div>
<br />
<a href="https://1.bp.blogspot.com/-ewk985gFI_A/XXVS0AulpTI/AAAAAAAAh4Y/nnYWplpCyKAZ_WhwJiuiJ1haVQJ3nORrwCLcBGAs/s1600/upload%2Bsuccess.png"><img border="0" src="https://1.bp.blogspot.com/-ewk985gFI_A/XXVS0AulpTI/AAAAAAAAh4Y/nnYWplpCyKAZ_WhwJiuiJ1haVQJ3nORrwCLcBGAs/s640/upload%2Bsuccess.png" /></a></div>
<div>
<br /></div>
<div>
Finally its good practice to remove unnecessary files from the build process: </div>
<div>
<br /></div>
<div>
<span style="color: blue;">apio clean </span></div>
<div>
<br /></div>
<div>
As everything worked you should be able to see an LED flash like in the video below: </div>
<div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<iframe width="320" height="266" class="YOUTUBE-iframe-video" data-thumbnail-src="https://i.ytimg.com/vi/LGdo47E862c/0.jpg" src="https://www.youtube.com/embed/LGdo47E862c?feature=player_embedded" frameborder="0" allowfullscreen></iframe></div>
<br /></div>
<div>
Again not the most exciting thing in the world but it is the hello world of FPGAS and from a tiny acorn an mighty oak tree grows ;) </div>
<div>
<br /></div>
<div>
That is all for now - Langster!</div>
Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-5207192232419934772019-09-05T22:17:00.001+01:002019-09-08T15:50:57.553+01:00ULX3S Open Source FPGA Development Board <div class="separator" style="clear: both; text-align: center;">
</div>
I have made no secret of the fact that I am interested in FPGA technology and have been trying to relearn VHDL for some time. I am also very keen on using open source programs and development tools.<br />
<br />
Recently (sometime in the last couple of years) I heard about project IceStorm by Clifford Wolfe. Mr Wolfe has reverse engineered Lattice Semiconductor's bit-stream files and written a software tool called Yosys which is used to convert verilog files into mapping files which can then be loaded onto a lattice semi-conductor FPGA. At the moment proprietary FPGA development tools are very large pieces of software which take a lot of space on a hard disk, are feature heavy and not free to use (require licence files), often unsupported (Xilinx WEBise anyone) and I found difficult to use. I'm not saying they aren't good but if I can use open source software tools I will...I like the idea behind the licensing models. I'll donate what I can afford and assist wherever I can.<br />
<br />
The Yosys Website is here: <a href="http://www.clifford.at/yosys/" target="_blank">http://www.clifford.at/yosys/</a><br />
<br />
Project ICE Storm: <a href="http://www.clifford.at/icestorm/" target="_blank">http://www.clifford.at/icestorm/</a><br />
<br />
Until recently I didn't have a Lattice Semiconductor FPGA development board but that changed when I was offered a ULX3S open source board from the very clever guys at the Radiona Hackspace. I had come into contact with these gentlemen when I was trying to learn to use the Elbert V2 and Mimas V2 Xilinx based FPGA development boards. They developed a very cool piece of kit to make use of the open source tools for teaching a Digital Logic course at their local university.<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-i-wQx0KF5v4/XT4Mqg5pKNI/AAAAAAAAhTc/_IOxcHdUyYwjrheaKFlw7WNcNF7r03m6QCKgBGAs/s1600/20190728_214537.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="778" data-original-width="1600" height="155" src="https://1.bp.blogspot.com/-i-wQx0KF5v4/XT4Mqg5pKNI/AAAAAAAAhTc/_IOxcHdUyYwjrheaKFlw7WNcNF7r03m6QCKgBGAs/s320/20190728_214537.jpg" width="320" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">The ULX3S with 3D printed case and buttons!</td></tr>
</tbody></table>
The board arrived in very good order with a 3D printed case, buttons and some pre-built examples. I had a quick play with it and intended to write up my experiences and get started on using it. That was probably this time last year...I am attempting to get back into blogging my experiences, trials and tribulations outside of work as I find it quite useful and I find it forces me to improve on my electronics and development skills. Anyway...back to the board:<br />
<br />
The board is in my opinion, very well designed and implemented. I particularly like the addition of the ESP32 so files can be uploaded over wifi. <br />
<br />
Here are some photos of the board:<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><img aria-label="Photo – Landscape – 28 Jul 2019, 21:47:55" class="SzDcob" height="266" src="https://lh3.googleusercontent.com/Ug7uqlSspejU4Q9us-uQjz-Vs4gcfeojy8hBi3TtUNWx3_zFj5XzRU_nc_98W3bwugSXW1QbOBBdwMT_L9hGAau1uVvwLP_t3krGOycf_5uro5sljMVSPTvqP-0rTvXNNgGL02YmcF18rOQE9y3SjpUk_dW6uQHccdQgKjpQNlU0DdmivEhK0CCZiWqk6okcZ4RKwKPm93T2nEULFVG-2Cgy-oIehv-7Eg1qXWWQUaNU4aJDoDlb4-SWi-in1dZmNpPpSPWXz90MyySROw6LY7EgWqt7Jbo6EYEt5OhW19Zh5pEwwGd1dW5RCFPDFMB4mqZ58OUnoMeemN-4Nrz2kED9pW-I9-mD3jVmAkgynmY08kgRr15mTrPa8O5o8ZqkbnRetoyeMLWBpRLT3gE-K8bAS2_UR6W9YJt33iOGkGl0IqNI4ahR2VLKuF76xJa-D6rT8wjPsXZ1YWYCyxfWoPnCxdtr_3kZHdU86cFmS1Cq_asbG5vdf13iNrY-_TsBT0uFvRPq3I36FQ31W_AcFBlG-QmALSL-Cl8q8ElWFHtoRTTCLfIYtMTwYLon4Eiz6mKaPEOSwCcj-9zbKjudm6BNufZgyYmCRpUSpPaml6SAONfBVME-lCQDhyEttdJYhWkTk7sOb2ylvAjJx-6eRzNLYlSkt5Jc=w1280-h857-no" style="margin-left: auto; margin-right: auto; transform: translate3d(0px, 0px, 0px) rotate(0deg);" width="400" /></td></tr>
<tr><td class="tr-caption" style="text-align: center;">The top side of the PCB with buttons, microSD card and display</td></tr>
</tbody></table>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><img aria-label="Photo – Landscape – 28 Jul 2019, 21:47:44" class="SzDcob" height="241" src="https://lh3.googleusercontent.com/uygtyJIQLkoVm6Nt5LAQgqTGt7ll09sWxwjMIKSTDQF76c8-7fq2AyyIKkPHbRLb09zvXlfMsOMFxnXqKnyV3W6dJIX8CNNoouo_KvQB09ZwEp2IywoQRCrBgm8ox5k5sqoD9129NVHbNDnks1syOU2jilBLWnEyLamkkad_twH8dE67-P5NN6qa6_dvtl1JotTx_al2sS3WFoFixYqfHM1L6DB2grc7m9oUjDmNcLSAAwer6ZbZKcPwolNRxjRn3AkvFpJCuCm_MWYsWV_kMCJ0ICFK1eAf1YhH_bodaxTzM4J7pH8RU12hKuIbpYt-FgdCMX4xbvc-EBJI2XSouK0lU2VXkZrweeBISZcp7orXJFULyzvAb5nHh1ufMvvBQkzgM-aKV6rAOUbKLPtiTTjXPj5xia5HozJAZBWqd6uAwh9isW2Sbl4OI3ee30Z4EUsOynYgk7Su_YAPHqsSzrgvr6aQhTf8o8P-QhdK3Apmhc8DvGlhDstOArK67XV9w1LrtOoxmTVH-EZHNipTATJtM43d0912DiU5wlA8Ca2Ce7vX5016fshxcTjr5PoGboAywioR48e5dscey4V9hcK9kLUNeKBilSnHiNFYgasoAff9zcDGDEnCZdCQZSsMkP-BBHPYjkLnQO4DcJZvkwId7Lhh0O9x=w1280-h774-no" style="margin-left: auto; margin-right: auto; transform: translate3d(0px, 0px, 0px) rotate(0deg);" width="400" /></td></tr>
<tr><td class="tr-caption" style="text-align: center;">The underside of the PCB with the ESP32 module</td></tr>
</tbody></table>
Here is the website for the project: <a href="https://radiona.org/ulx3s/" target="_blank">https://radiona.org/ulx3s/</a><br />
<br />
Here is a Hackaday write up: <a href="https://hackaday.com/2019/01/14/ulx3s-an-open-source-lattice-ecp5-fpga-pcb/#more-340565" target="_blank">https://hackaday.com/2019/01/14/ulx3s-an-open-source-lattice-ecp5-fpga-pcb/#more-340565</a><br />
<br />
I'll be honest, I bought the board to support the project, I haven't got a project or use in mind. To be even more honest I still want to re-learn a hardware description language so that when I do have a project in mind I'll be able to make use of the technology. I do have ideas for a piece of instrumentation but that is a way off and requires a lot more than just the FPGA!<br />
<br />
The first thing I did with the board was use it to emulate an Amiga 500 computer using the pre-loaded Minimig project which came ready to play on the board. All I had to do was grab some amiga disk images and I could play all the games from my misspent youth. Retro gaming with FPGA devices is a very popular hobby as the hardware is not being emulated but actually realised within the fabric of the device which leads to a more realistic experience. <br />
<br />
Playing Lotus Turbo Challenge 2 and Utopia are fun diversions but not quite what I had in mind for the device.<br />
<br />
The open source tools all appear to be for linux operating systems only at the moment so I installed Linux Mint on my main PC and got to work installing all of the pieces of software needed from project Ice storm. <br />
<br />
My LinuxFu is not that strong so I may have messed this up somewhere along the way. I know I have downloaded, and compiled the following pieces of software:<br />
<br />
IceStudio<br />
prjtrellis<br />
Yosys<br />
nextPNR<br />
<br />
I was then pointed towards the apio project by a colleague and downloaded the windows version of that software along with all the other bits and pieces needed by following the instructions here:<br />
<br />
<a href="https://github.com/ulx3s/fpga-odysseus">https://github.com/ulx3s/fpga-odysseus</a><br />
<br />
It installed fine although I would ensure that if following the instructions that you don't upgrade the apio software.<br />
<br />
Once presented with the command prompt by loading the ConEmu.exe program it is pretty easy to get started:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-t6WD_nuqkQI/XXFkdy1HIRI/AAAAAAAAh0I/CQbuQadwAJcNhAhrwjTIhy-8zdTho9P9wCLcBGAs/s1600/conEmu%2Bprompt.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="340" data-original-width="417" height="260" src="https://1.bp.blogspot.com/-t6WD_nuqkQI/XXFkdy1HIRI/AAAAAAAAh0I/CQbuQadwAJcNhAhrwjTIhy-8zdTho9P9wCLcBGAs/s320/conEmu%2Bprompt.png" width="320" /></a></div>
<br />
This screen brought my back to my days of the DOS prompt. I know the linux command prompt is fairly similar but I just don't use linux enough to be comfortable with it...I am slowly improving though...<br />
<br />
I made a folder called Alex and then a sub folder called ulx3s, you could use any folder names you like. I then copied two files into the folder:<br />
<br />
apio.ini - found from the folder C:\msys64\src\fpga-odysseus\tutorials\01-Basics\01-LED<br />
ulx3s_v20.lpf - found from the folder C:\msys64\src\fpga-odysseus\tutorials\01-Basics\01-LED<br />
<br />
The apio.ini file is a text file that tells the apio software everything needed to prepare files for upload to the ulx3s board and ulx3s_v20.lpf is the constraints file for the board, it tells apio and all of the other software which pins are connected to what and where between the FPGA and the external peripherals.<br />
<br />
I next created a simple text file in notepad++ - you could use any text editor to be honest. I called it top.v and typed out the following simple program:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #888888;">//Hello world LED program</span>
<span style="color: #888888;">//create a module called top</span>
<span style="color: #008800; font-weight: bold;">module</span> top (
<span style="color: #008800; font-weight: bold;">output</span> [<span style="color: #005588; font-weight: bold;">7</span>:<span style="color: #005588; font-weight: bold;">0</span>] led, <span style="color: #888888;">//create an output vector called 'led' with 8 bits</span>
<span style="color: #008800; font-weight: bold;">output</span> wifi_gpio0 <span style="color: #888888;">//create an output for the wifi gpio</span>
);
<span style="color: #008800; font-weight: bold;">assign</span> led = <span style="color: #005588; font-weight: bold;">8'b10101010</span>; <span style="color: #888888;">//set the state of the bits in the vector to the number 170</span>
<span style="color: #888888;">// this sets the bits on and off alternately</span>
<span style="color: #008800; font-weight: bold;">assign</span> wifi_gpio0 = <span style="color: #005588; font-weight: bold;">1'b1</span>; <span style="color: #888888;">// set the wifi gpio wifi high </span>
<span style="color: #008800; font-weight: bold;">endmodule</span> <span style="color: #888888;">//end the module</span></pre>
<br />
Save the file in the directory made previously:<br />
<br />
C:\msys64\src\Alex\ulx3s<br />
<br />
Next jump to the ConEmu command prompt and make sure all of the files we need are there by typing <span style="color: blue;">ls</span> and hit enter :<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-w5SXFRo4OHI/XXF0zZY-qvI/AAAAAAAAh0U/xgDHjSXACuccKcJPoMuq_HAwLlV63OB1ACLcBGAs/s1600/project%2Bprepared.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="315" data-original-width="511" height="197" src="https://1.bp.blogspot.com/-w5SXFRo4OHI/XXF0zZY-qvI/AAAAAAAAh0U/xgDHjSXACuccKcJPoMuq_HAwLlV63OB1ACLcBGAs/s320/project%2Bprepared.png" width="320" /></a></div>
<br />
next type the following: <span style="color: blue;">apio build --board ulx3s-45f'</span><br />
<span id="goog_1622407780"></span><span id="goog_1622407781"></span><br />
You should see the following displayed, ignore the warning messages:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-SIAu9dKIQBk/XXF1qXd3H2I/AAAAAAAAh0c/jKNDDVuVyqgi0uXLotS81HNVuT4x3pQpwCLcBGAs/s1600/project%2Bbuilt%2Bfor%2Bulx3s-45f.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="984" data-original-width="1280" height="491" src="https://1.bp.blogspot.com/-SIAu9dKIQBk/XXF1qXd3H2I/AAAAAAAAh0c/jKNDDVuVyqgi0uXLotS81HNVuT4x3pQpwCLcBGAs/s640/project%2Bbuilt%2Bfor%2Bulx3s-45f.png" width="640" /></a></div>
<br />
The following files will have been created in the directory:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-4CWfqOhWqUA/XXF2RNavQNI/AAAAAAAAh0o/zczIvpWCHw8FN3eXNjyghRcL0Vd9OsCcgCLcBGAs/s1600/files%2Bcreated.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="162" data-original-width="595" height="172" src="https://1.bp.blogspot.com/-4CWfqOhWqUA/XXF2RNavQNI/AAAAAAAAh0o/zczIvpWCHw8FN3eXNjyghRcL0Vd9OsCcgCLcBGAs/s640/files%2Bcreated.png" width="640" /></a></div>
Exciting times....now it is time to upload the program to the FPGA. Make sure the ULX3S board is plugged into a suitable USB port and powered up.<br />
<br />
Type the following command:<br />
<br />
<span style="color: blue;">apio upload --board ulx3s-45f</span><br />
<br />
If all goes according to plan you should see the following:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-Aney1tkOf6U/XXF3ydA8faI/AAAAAAAAh00/9hzRbvuE6w0LVwv1ONf-gS1Dgv0U7dsNACLcBGAs/s1600/Upload%2Bsuccess.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="984" data-original-width="1280" height="492" src="https://1.bp.blogspot.com/-Aney1tkOf6U/XXF3ydA8faI/AAAAAAAAh00/9hzRbvuE6w0LVwv1ONf-gS1Dgv0U7dsNACLcBGAs/s640/Upload%2Bsuccess.png" width="640" /></a></div>
<br />
Next type the following:<br />
<br />
<span style="color: blue;">apio clean</span><br />
<span style="color: blue;"><br /></span>
This removes all of the unnecessary files created during the processes. Finally its time to admire your work. If everything went according to plan you should see the following LED pattern on your ULX3S!<br />
<br />
<div style="text-align: center;">
<img height="320" src="https://lh3.googleusercontent.com/MyJmmlfviGdOjulhATl2XnI5NEyLFrlguGsYFGIStwiwR6GfmFgXaHgggNzjRcf7LMNNx_l2pC-hlVk___Nuk7LHFdnapnTpZUlXuDO94wAnbKB8lzIyhrR-nsM28vMuVcrn4yIxFsy1YMv-4P9DlII6WKOcxoJlSbQwVLjExcdrd_KfW0k63cdwJFQeSM8AqKLdtlhSZ-IJanArCkoB1vRBek-dkZSMzGxct2Vr-1IUltYvdaobDBaTymPxi4wYV4581wXehob0iQfJCAHF6JSM0RYZjaCDnuLCGjm5hj35-WCF3rJkJXEOaP33K2zNGPHib1wfIGtxWW-8X4bjJPNx_TrLJN62rYpAerx-44_2-JjbNQzaaKVM6fib9Y_rX9Gd9H3Vj5Je73b9JVkqHlU6xdAfZDDRjQvITqtLYb0vjgG3TIyN3rO-CgIGGBmcIMsu2DDbn5ZXFU6KFVQ7MMNibXsEWYvYZN7b1c2CHyy4mZ4W_UxOXvGNVtJbqn3iqdeAPTb-s3DL1SjQlhq9vjEV6p135_Oni1lThivz5ffHdE11b3c8Th95YfLFMjFSwiM9uXciSQ4tI9BUnwhdClQCu5UqD0WY9VA48jl4eiawL5Gu0qoHClkGtAwF_V-IL3aPQ63dO8Nxnbc8KKWFHNU1SRCIIRriDhKNC_049TFf7ad2bSKvlGI_=w429-h881-no" width="153" /></div>
<br />
Ok so its nothing special but it's a start. I'm hoping soon to do a bit more with it. I hear good things about something called ICE Studio:<br />
<br />
<a href="https://icestudio.io/">https://icestudio.io/</a><br />
<br />
It doesn't have support for the ulx3s yet but I'm hopeful it can be added soon!<br />
<br />
That's all for now - Langster!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-57167628114532178132019-07-28T19:03:00.001+01:002019-07-28T19:04:04.145+01:00Finishing off the Interactive Confetti Room Controller<span style="font-family: inherit;">Last week I managed to help finish off the interactive confetti room controller. It which was finally made up of the following components:</span><br />
<div>
<span style="font-family: inherit;"><br /></span></div>
<div>
<span style="font-family: inherit;">1x Arduino Uno R3 clone</span></div>
<div>
<span style="font-family: inherit;">1x prototyping shield</span></div>
<div>
<span style="font-family: inherit;">1x magnetic door sensor and complementary magnet</span></div>
<div>
<span style="font-family: inherit;">3x 10 k<span style="background-color: #f3f3f3;">Ω</span> resistors</span></div>
<div>
<span style="font-family: inherit;">1x DS1307 <span style="background-color: white; color: #222222;">i2c</span> real time clock module</span></div>
<div>
<span style="font-family: inherit;">1x Elegoo <span style="background-color: white; color: #222222;">8 channel relay module</span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;">5x Single UK mains plug socket extensions</span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;">1x 5 Vdc power supply for the Arduino Uno and Relay PCB</span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;"><br /></span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;">The build was put together as follows:</span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;"><br /></span></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj0w701mnrFUqPLvgZJLEtaQ_c0Zmm6gmlXqQNWJLyY0_cigxd-c9yj09X__ZqDYd9_MQQ0CUR1Kmidvoabzir213gQmJXpJnXp5QpPuw1mx4ZBs6jOtOVCNEipS2Fv6bpMHUUKgGxr2R8/s1600/20190725_210400.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><span style="font-family: inherit;"><img border="0" data-original-height="1600" data-original-width="966" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj0w701mnrFUqPLvgZJLEtaQ_c0Zmm6gmlXqQNWJLyY0_cigxd-c9yj09X__ZqDYd9_MQQ0CUR1Kmidvoabzir213gQmJXpJnXp5QpPuw1mx4ZBs6jOtOVCNEipS2Fv6bpMHUUKgGxr2R8/s640/20190725_210400.jpg" width="386" /></span></a></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;"><br /></span></span></div>
<div>
<span style="background-color: white; font-family: inherit;"><span style="color: #222222;">The mains power all came from a single input poorly shown coming in at the bottom left. This connection was then used to power the 5 Vdc power supply for the arduino and the relay module. The live connection to the outputs was controlled by the relay PCB between the normally open and common connections. The relays inputs were connected to the arduino uno digital pins at 11, 10, 9 and 8 respectively. The door sensor was connected via between 5 Vdc and digital pin 2 of the arduino, a 10 </span>kΩ<span style="color: #222222;"> resistor and GND. The real time clock module was connected to the i2c pins A4 and A5 of the arduino UNO. The</span><span style="color: #222222;"> </span><span style="color: #222222;">i2c</span><span style="color: #222222;"> lines were also 'pulled up to 5 Vdc via 10 </span>kΩ resistors to ensure correct operation. </span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;"><br /></span></span></div>
<div>
<span style="color: #222222; font-family: inherit;"><span style="background-color: white;">Note: The Elegoo relay module screw terminals are quite small and it was difficult to insert 1.5 mm diameter mains cable into them. I ended up getting some thick single core house wiring conductor and using that and when connecting the live conductor to that. I then covered the lot in hot glue and insulating tape to try to prevent the exposed live being touched. As everything is going inside a box it shouldn't be an issue anyway. The final user who will be using this controller and developing the firmware was clearly warned! </span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;"><br /></span></span></div>
<div>
<span style="background-color: white; color: #222222;"><span style="font-family: inherit;">The whole assembly was mounted to a laser cut wooden baseboard...as this turned out to be a little undersized I then put the whole lot inside a 230 x 230 x 120 mm laser cut wooden box. Strain relief was added to all cables using some cable ties. The lid was left off while the firmware was developed by the customer. I assisted where I was requested but I am not the best at coding and was also short on time so I left them to it!</span></span></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div>
<span style="font-family: inherit;">Here is a photo of the kit inside the box:</span></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-hFo2HS1TncI/XT3h2umeGDI/AAAAAAAAhSs/D1LUUg7AkRgLFHv3WjxeEziC5zoOjVGuQCKgBGAs/s1600/20190725_220736.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><span style="font-family: inherit;"><img border="0" data-original-height="1600" data-original-width="1128" height="640" src="https://1.bp.blogspot.com/-hFo2HS1TncI/XT3h2umeGDI/AAAAAAAAhSs/D1LUUg7AkRgLFHv3WjxeEziC5zoOjVGuQCKgBGAs/s640/20190725_220736.jpg" width="450" /></span></a></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div>
<span style="font-family: inherit;">Here is a photo of the box with it's unattached lid:</span></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-hwnd6dShjOc/XT3iRldc_7I/AAAAAAAAhS4/DhnTa1pDSBAwNidVGi44gs5DLml1WVF6wCKgBGAs/s1600/20190725_220743.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><span style="font-family: inherit;"><img border="0" data-original-height="1583" data-original-width="1600" height="632" src="https://1.bp.blogspot.com/-hwnd6dShjOc/XT3iRldc_7I/AAAAAAAAhS4/DhnTa1pDSBAwNidVGi44gs5DLml1WVF6wCKgBGAs/s640/20190725_220743.jpg" width="640" /></span></a></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div>
<span style="font-family: inherit;">Well that's it for this quick project. I may well add source code and video of the final effect when I get the chance to see it! I'm aware this post lacks the usual detail but there wasn't much to write about.</span></div>
<div>
<span style="font-family: inherit;"><br /></span></div>
<div>
<span style="font-family: inherit;">That's all for now - Langster!</span></div>
Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-22893268382721544642019-07-07T18:04:00.001+01:002024-01-04T09:23:01.942+00:00Elegoo 8 Channel Relay Module TutorialCarrying on from the previous post about an interactive confetti room...<br />
<br />
<a href="https://langster1980.blogspot.com/2019/07/interactive-confetti-room-project.html">https://langster1980.blogspot.com/2019/07/interactive-confetti-room-project.html</a><br />
<br />
Lets get out the 8 channel relay PCB and see what makes it tick.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-uxIZfZ8Wgbw/XSH8heaPuoI/AAAAAAAAhAQ/yk6KGyNXH_0GKI-PmJBwZO1JFpWW7-GOgCLcBGAs/s1600/Elegoo%2B8%2Bchannel%2Brelay%2Bmodule.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="430" data-original-width="1000" height="274" src="https://1.bp.blogspot.com/-uxIZfZ8Wgbw/XSH8heaPuoI/AAAAAAAAhAQ/yk6KGyNXH_0GKI-PmJBwZO1JFpWW7-GOgCLcBGAs/s640/Elegoo%2B8%2Bchannel%2Brelay%2Bmodule.png" width="640" /></a></div>
Here are the specifications of the device in case it is needed:<br />
<br />
● Output Channels: 8<br />
● Operating Voltage: 5 Vdc<br />
● Operating Current: 480 ma<br />
● Switching Voltages: 250 Vac at 10 Amps, 30 Vdc at 10 A<br />
<br />
● Mechanical Dimensions (Length*Width*Height): 137 mm x 56 mm x 17 mm (5.4" x 2.2" x 0.7")<br />
● Mounting Hole Size: 3 mm (0.12")<br />
● Mounting Hole Centres (L*W): 132 x 50 mm (5.2" x 2")<br />
<br />
Here is the schematic diagram again:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjDw-okqbdeHS9r6j_qhojHu1OTadDl5ozyB2JaqdeJ1uS9ceE8VJNFEizqEUgeQ6xgtHYX7tUkB0c4DaeDlxuvaZKChp1KOMGmu4dz5aF2ZllsDltXUpgYhqV_aooTdHoZxuZ0TPChH50/s1600/Elegoo+8+channel+relay+schematic.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="551" data-original-width="900" height="390" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjDw-okqbdeHS9r6j_qhojHu1OTadDl5ozyB2JaqdeJ1uS9ceE8VJNFEizqEUgeQ6xgtHYX7tUkB0c4DaeDlxuvaZKChp1KOMGmu4dz5aF2ZllsDltXUpgYhqV_aooTdHoZxuZ0TPChH50/s640/Elegoo+8+channel+relay+schematic.png" width="640" /></a></div>
<br />
I connected up the relay board to the Arduino R3 as shown in the diagram below:<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgSXiP6ZphQ9TgHU3gVLKVrq3jnjE37gbte8NvB_-2sdExBowcfq0DySVPFIzIKN9gROoyQEFB7B_lD6IggDGpcaIEoILfiKmz4BWvJm2rFphLmHNKIkVKRkbpz0WnJg9Gub-BjOBDOMQE/s1600/Elegoo+8+Channel+Relay+Tutorial_bb.png" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1600" data-original-width="1372" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgSXiP6ZphQ9TgHU3gVLKVrq3jnjE37gbte8NvB_-2sdExBowcfq0DySVPFIzIKN9gROoyQEFB7B_lD6IggDGpcaIEoILfiKmz4BWvJm2rFphLmHNKIkVKRkbpz0WnJg9Gub-BjOBDOMQE/s640/Elegoo+8+Channel+Relay+Tutorial_bb.png" width="548" /></a></div>
This connection arrangement will actuate the channel 1 relay switching the positive feed (+5 Vdc) on it's common and normally closed connections. Anything connected between the common pin and the normally closed pin will be switched, It could be anything as long as it is within the specifications of the relay contacts. The normally open pin will also be switched as the common pin is in the middle of the switching contacts.<br />
<br />
We want to write some simple test code to drive the relay coil on channel one on and off for a brief period of time:<br />
<br />
1. Initialise control variables<br />
2. Start the Serial Monitor<br />
3. Set the relay drive pins to be outputs<br />
4. Open channel 1 relay contacts<br />
5. Wait half a second<br />
6. Close channel 1 relay contacts<br />
7. Loop back to step 4 and repeat continuously<br />
<br />
Here is the code:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #888888;">/* Langster's Test Code for </span>
<span style="color: #888888;"> * Elegoo 8 Channel Relay PCB</span>
<span style="color: #888888;"> * 07/07/2019</span>
<span style="color: #888888;"> * Test circuit output is a Green 5 mm LED </span>
<span style="color: #888888;"> * and a 220 Ohm resistor connected</span>
<span style="color: #888888;"> * between common and Normally Closed screw</span>
<span style="color: #888888;"> * terminals on the channel 1 relay outputs</span>
<span style="color: #888888;"> * </span>
<span style="color: #888888;"> * The Elegoo relay module is connected to</span>
<span style="color: #888888;"> * an Arduino Uno R3 on the following pins:</span>
<span style="color: #888888;"> * </span>
<span style="color: #888888;"> * GND connects to GND of the Arduino</span>
<span style="color: #888888;"> * IN1 connects to Pin 11 of the Arduino</span>
<span style="color: #888888;"> * IN1 connects to Pin 10 of the Arduino</span>
<span style="color: #888888;"> * IN2 connects to Pin 9 of the Arduino</span>
<span style="color: #888888;"> * IN3 connects to Pin 8 of the Arduino</span>
<span style="color: #888888;"> * IN4 connects to Pin 7 of the Arduino</span>
<span style="color: #888888;"> * IN5 connects to Pin 6 of the Arduino</span>
<span style="color: #888888;"> * IN6 connects to Pin 5 of the Arduino</span>
<span style="color: #888888;"> * IN7 connects to Pin 4 of the Arduino</span>
<span style="color: #888888;"> * VCC connects to Vin pin of the Arduino</span>
<span style="color: #888888;"> */</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN1 = <span style="color: #0000dd; font-weight: bold;">11</span>; <span style="color: #888888;">//variable for relay channel 1 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN2 = <span style="color: #0000dd; font-weight: bold;">10</span>; <span style="color: #888888;">//variable for relay channel 2 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN3 = <span style="color: #0000dd; font-weight: bold;">9</span>; <span style="color: #888888;">//variable for relay channel 3 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN4 = <span style="color: #0000dd; font-weight: bold;">8</span>; <span style="color: #888888;">//variable for relay channel 4 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN5 = <span style="color: #0000dd; font-weight: bold;">7</span>; <span style="color: #888888;">//variable for relay channel 5 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN6 = <span style="color: #0000dd; font-weight: bold;">6</span>; <span style="color: #888888;">//variable for relay channel 6 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN7 = <span style="color: #0000dd; font-weight: bold;">5</span>; <span style="color: #888888;">//variable for relay channel 7 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> eightChanRelayIN8 = <span style="color: #0000dd; font-weight: bold;">4</span>; <span style="color: #888888;">//variable for relay channel 8 drive pin</span>
<span style="color: #333399; font-weight: bold;">int</span> relayDwellTimeMS = <span style="color: #0000dd; font-weight: bold;">500</span>; <span style="color: #888888;">//variable for setting the dwell time a relay is actuated for</span>
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">setup</span>() {
Serial.begin(<span style="color: #0000dd; font-weight: bold;">9600</span>); <span style="color: #888888;">// open the serial port at 9600 bps:</span>
pinMode(eightChanRelayIN1, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 1 to be an output</span>
pinMode(eightChanRelayIN2, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 2 to be an output</span>
pinMode(eightChanRelayIN3, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 3 to be an output</span>
pinMode(eightChanRelayIN4, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 4 to be an output</span>
pinMode(eightChanRelayIN5, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 5 to be an output</span>
pinMode(eightChanRelayIN6, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 6 to be an output</span>
pinMode(eightChanRelayIN7, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 7 to be an output</span>
pinMode(eightChanRelayIN8, OUTPUT); <span style="color: #888888;">//set the relay drive pin for channel 8 to be an output</span>
}
<span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">loop</span>() {
digitalWrite(eightChanRelayIN1, LOW); <span style="color: #888888;">//switch relay channel 1</span>
delay(relayDwellTimeMS); <span style="color: #888888;">//wait for the dwell time (500 ms)</span>
digitalWrite(eightChanRelayIN1, HIGH); <span style="color: #888888;">//switch relay channel 1</span>
delay(relayDwellTimeMS); <span style="color: #888888;">//wait for the dwell time (500 ms)</span>
</pre>
<span style="color: #333333;">}</span><br />
<br />
The code is fairly easy to understand. Each relay channel has been assigned a variable to easily reference it. A variable to select how long the relay will be open or closed is also added, commonly known as the dwell time.<br />
<br />
Next in the setup function the serial terminal is initialised although it isn't actually used for anything (I had plans to add serial messages and then didn't bother).<br />
<br />
After that all the relay channel drive pins are set to outputs. <br />
<br />
Finally in the loop function the relay on channel one is driven low which opens it's contacts. The contacts stay open for half a second and then the contacts are closed - the pin is driven high for half a second and then the function loops back to the start and repeats.<br />
<br />
Here is a short video of things in action:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<iframe allowfullscreen="" class="YOUTUBE-iframe-video" data-thumbnail-src="https://i.ytimg.com/vi/sgNS4m68JoI/0.jpg" frameborder="0" height="266" src="https://www.youtube.com/embed/sgNS4m68JoI?feature=player_embedded" width="320"></iframe></div>
<br />
Things to note - The relay drive pins are active low. That means in order to make a relay switch the contact open the drive pin must be driven low.<br />
<br />
It would not be difficult to modify the code to drive all of the relays, just modify the code in the loop section:<br />
<br />
<pre style="color: #333333; line-height: 16.25px;"><span style="color: #333399; font-weight: bold;">void</span> <span style="color: #0066bb; font-weight: bold;">loop</span>() {
digitalWrite(eightChanRelayIN1, LOW); <span style="color: #888888;">//switch relay channel 1</span>
digitalWrite(eightChanRelayIN2, LOW); <span style="color: #888888;">//switch relay channel 2</span>
digitalWrite(eightChanRelayIN3, LOW); <span style="color: #888888;">//switch relay channel 3</span>
digitalWrite(eightChanRelayIN4, LOW); <span style="color: #888888;">//switch relay channel 4</span>
digitalWrite(eightChanRelayIN5, LOW); <span style="color: #888888;">//switch relay channel 5</span>
digitalWrite(eightChanRelayIN6, LOW); <span style="color: #888888;">//switch relay channel 6</span>
digitalWrite(eightChanRelayIN7, LOW); <span style="color: #888888;">//switch relay channel 7</span>
digitalWrite(eightChanRelayIN8, LOW); <span style="color: #888888;">//switch relay channel 8</span>
delay(relayDwellTimeMS); <span style="color: #888888;">//wait for the dwell time (500 ms)</span>
digitalWrite(eightChanRelayIN1, HIGH); <span style="color: #888888;">//switch relay channel 1</span>
digitalWrite(eightChanRelayIN2, HIGH); <span style="color: #888888;">//switch relay channel 2</span>
digitalWrite(eightChanRelayIN3, HIGH); <span style="color: #888888;">//switch relay channel 3</span>
digitalWrite(eightChanRelayIN4, HIGH); <span style="color: #888888;">//switch relay channel 4</span>
digitalWrite(eightChanRelayIN5, HIGH); <span style="color: #888888;">//switch relay channel 5</span>
digitalWrite(eightChanRelayIN6, HIGH); <span style="color: #888888;">//switch relay channel 6</span>
digitalWrite(eightChanRelayIN7, HIGH); <span style="color: #888888;">//switch relay channel 7</span>
digitalWrite(eightChanRelayIN8, HIGH); <span style="color: #888888;">//switch relay channel 8</span>
delay(relayDwellTimeMS); <span style="color: #888888;">//wait for the dwell time (500 ms)</span>
</pre>
<span style="color: #333333;">}</span><br />
<br />
I haven't got eight LEDS to hand to give a demonstration of this but I have tested the code and the circuit it works perfectly...the clicking of the relays sounds a lot like an old fashioned watch tick or a metronome.<br />
<br />
If one were to change value in the dwell time variable the relays will switch more quickly or slowly...the maximum speed they should be switched is 100 ms...any faster will work but might damage the relays and the noise is exceptionally irritating! <br />
<br />
The code can also be modified to independently switch each relay with independent dwell times as required. <br />
<br />
That's about it for now, take care - Langster!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com3tag:blogger.com,1999:blog-1118055223601902892.post-90007999971322063792019-07-04T23:04:00.000+01:002019-07-07T15:01:48.376+01:00Interactive Confetti Room ProjectI was recently asked to help develop the electronics for an event. The basic idea is that people would enter a room filled with different coloured confetti and air blowers. These air blowers would be energised for short periods of time in prescribed sequences blowing the confetti about the room in a colourful display. Hopefully a little bit reminiscent of a final game in a classic British TV program - the Crystal Maze! If people aren't aware of the cult classic of the crystal maze then a link is below:<br />
<div>
<br /></div>
<div>
<a href="https://en.wikipedia.org/wiki/The_Crystal_Maze">https://en.wikipedia.org/wiki/The_Crystal_Maze</a></div>
<div>
<br /></div>
<div>
The part I'm referring to is this, don't watch all of it! - skip to 2:17 for the important bit:</div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<iframe allowfullscreen="" class="YOUTUBE-iframe-video" data-thumbnail-src="https://i.ytimg.com/vi/Achx3V8lzyY/0.jpg" frameborder="0" height="266" src="https://www.youtube.com/embed/Achx3V8lzyY?feature=player_embedded" width="320"></iframe><br />
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="separator" style="clear: both; text-align: left;">
Hopefully people reading this post will get what I mean. Anyway...in order to create a similar effect Five air blower units will be sourced and placed inside a 15 m<sup>2 </sup>room. The fan units will be placed in the corners with one unit in the mid point in effort to ensure there is some form of uniform airflow. The coloured confetti is placed in front of the fan units and when people enter the room and the door is closed the fans will come on in a prescribed sequence creating an atmospheric effect. This hasn't been tried and tested yet so some development of the experience may be required...I'll probably leave that part to others as I haven't the time and to be honest I have only been asked to help develop the kit not the experience...I'll help out where I can but getting the desired effect is up to the customer...I'll provide the tools not the results...</div>
<div class="separator" style="clear: both; text-align: left;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-weHwSUEJAVs/XR5YpkOkGwI/AAAAAAAAg9M/rpwTEfTCayQ5ExBi8D3eYSIO4tWkxqu5QCLcBGAs/s1600/Elegoo%2B8%2Btutorial%2Broom%2Bdiagram.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="264" data-original-width="452" height="373" src="https://1.bp.blogspot.com/-weHwSUEJAVs/XR5YpkOkGwI/AAAAAAAAg9M/rpwTEfTCayQ5ExBi8D3eYSIO4tWkxqu5QCLcBGAs/s640/Elegoo%2B8%2Btutorial%2Broom%2Bdiagram.png" width="640" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
</div>
<div class="separator" style="clear: both; text-align: left;">
The above diagram hopefully gets the general idea across.</div>
<div class="separator" style="clear: both; text-align: left;">
<br /></div>
<div class="separator" style="clear: both; text-align: left;">
The fan blower units have already been selected and are linked below:</div>
<div class="separator" style="clear: both; text-align: left;">
<br /></div>
<div class="separator" style="clear: both; text-align: left;">
<a href="https://www.amazon.co.uk/Vacmaster-Mover-Floor-Carpet-Dryer/dp/B06WRV12HM/ref=pd_day0_hl_201_4/257-9082362-9746221?_encoding=UTF8&pd_rd_i=B06WRV12HM&pd_rd_r=c3837120-981f-11e9-8dbc-7334899ed7de&pd_rd_w=jShRl&pd_rd_wg=MKuSd&pf_rd_p=92d624bb-a334-423e-8722-8024dd09667f&pf_rd_r=3EA8WBH1Q8J3QW6GECWR&psc=1&refRID=3EA8WBH1Q8J3QW6GECWR">Vacmaster Air Mover via Amazon.co.uk</a></div>
<div>
<br />
<div>
<div>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><img alt="Vacmaster Air Mover | High Power, Energy Efficient Turbo Fan/Blower/Dryer" src="https://images-na.ssl-images-amazon.com/images/I/41gvgr5hImL._SX425_.jpg" style="margin-left: auto; margin-right: auto;" /></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Image Credit - Vacmaster, via Amazon.co.uk</td></tr>
</tbody></table>
</div>
<div>
The specifications given via the Amazon product page are:<br />
<br />
<ul>
<li>POWERFUL AIR FLOW - The 150 W motor drives 266 litres of ambient air per second for rapid drying and cooling.</li>
<li>ENERGY EFFICIENT - Consumes 90% less power than a conventional 1000 W fan heater.</li>
<li>3 TILT POSITIONS - Tilts at 45 degrees, horizontally and vertically for precise, focused air flow.</li>
<li>3 POWER SETTINGS - Low, medium and high speed settings for quiet or fast drying.</li>
<li>INTEGRATED EXTENSION SOCKET - For powering additional Air Movers from a single wall socket. Daisy-chain them together to cover a large area.</li>
</ul>
The vendor doesn't mention the mains supply voltage required but as this is the UK and it's being sourced via amazon UK I'm going to assume the supply is 230 Vac. The current draw will therefore be 0.65 Amps - as Current (Amps) = Power (Watts) / Volts from Ohms Law:<br />
<br />
150 Watts / 230 Vac = 0.6521739130434782608695652173913 Amps<br />
or 0.65 Amps to two significant figures...<br />
<br />
Lets write some requirements:<br />
<br />
<ul>
<li>Switch five fans on and off independently in a pre-arranged sequence</li>
<li>Choose specific times of day when fans will come on and off</li>
<li>Ensure fans can only actuate when the door to the room is closed.</li>
<li>Ensure fans have independent overrides for safety - be able to turn fans on/off via control buttons</li>
<li>The switching requirement is 230 Vac at 0.65 Amps for each fan </li>
</ul>
<br />
There are several ways this could be achieved. As time is of the essence...as it always seems to be, I'm going to use relays to switch the mains feed to the fans. The relays will be controlled via a microcontroller which can be communicated with using a serial terminal or via the buttons which will be on the front panel of the control unit. If necessary I'll add an indication LED and maybe a buzzer to show when the fans are about to begin operation...I suspect the fan noise will make that clear to all concerned though - 😃<br />
<br />
I could design my own relay control PCB complete with drive electronics or I could make use of a pre-made module...again time and budget suggests I go with something off the shelf. I need a five channel relay module capable of switching 230 Vac at 0.65 Amps. I found this module on Amazon.co.uk and it looks to have everything needed...that'll save some time!<br />
<br />
<a href="https://www.amazon.co.uk/dp/B06XKQQXKW?ref_=pe_3187911_264767211_E_301_dt_1">Elegoo 8 Channel Relay Module - via Amazon.co.uk</a><br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-v9EiBQYgCs0/XR5fhHpURYI/AAAAAAAAg9c/QK1_aP1THVgROvRiCWcOJONcxSdZ9tlTgCLcBGAs/s1600/Elegoo%2B8%2Bchannel%2Brelay%2Bmodule.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="430" data-original-width="1000" height="274" src="https://1.bp.blogspot.com/-v9EiBQYgCs0/XR5fhHpURYI/AAAAAAAAg9c/QK1_aP1THVgROvRiCWcOJONcxSdZ9tlTgCLcBGAs/s640/Elegoo%2B8%2Bchannel%2Brelay%2Bmodule.png" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Image Credit - Elegoo 8 channel Relay Module via Amazon.co.uk</td></tr>
</tbody></table>
There are eight relays are capable of switching 230 Vac at 10 Amps - far more than we need and they meet the switching requirements. They can be driven from 5 Vdc which means that they can be driven from a five volt tolerant microcontroller output. The relay drive coils are optically isolated so the microcontroller won't be affected by the relay switching. There is an LED (light emitting diode) at each relay channel to display when the relay coil is being driven. The cost was a very reasonable GBP £8.99 or USD $11.31 which I would struggle to compete with if I were to design my own version.<br />
<br />
The schematic diagram for the relay module is here in case it is needed:<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-nQc_i0XNKFg/XSH7LWvExOI/AAAAAAAAhAE/-7RSqh2Gyv4qujV4UZQgpBhZnqP_dFfzACLcBGAs/s1600/Elegoo%2B8%2Bchannel%2Brelay%2Bschematic.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="551" data-original-width="900" height="390" src="https://1.bp.blogspot.com/-nQc_i0XNKFg/XSH7LWvExOI/AAAAAAAAhAE/-7RSqh2Gyv4qujV4UZQgpBhZnqP_dFfzACLcBGAs/s640/Elegoo%2B8%2Bchannel%2Brelay%2Bschematic.png" width="640" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
</div>
<br />
We will also need the following components:<br />
<br />
An arduino uno R3 microcontroller or clone - <a href="https://www.amazon.co.uk/dp/B01EWOE0UU/?coliid=I3A2030ABXJTY&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">Via Amazon.co.uk</a><br />
Some Momentary Buttons - <a href="https://www.amazon.co.uk/dp/B00KHV7QFE/?coliid=IYWK1JGVC7ZLL&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
Some jumper wires - <a href="https://www.amazon.co.uk/dp/B01EV70C78/?coliid=I7ZAFG6164LF2&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
Some tri-colour LEDS - <a href="https://www.amazon.co.uk/dp/B07RFB4V4W/?coliid=I1E0XMCQJ5J9PB&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
Some LED holders - <a href="https://www.amazon.co.uk/dp/B01MRVAFGK/?coliid=I2501AAZBO6T72&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
Six mains sockets and wire - <a href="https://www.amazon.co.uk/dp/B01M10EEVQ/?coliid=I2H6AN4XOF4LUL&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
Some connector block - <a href="https://www.amazon.co.uk/dp/B078MPNBPG/?coliid=I1H68P9GM08HFU&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
A reed switch and magnet - <a href="https://www.amazon.co.uk/dp/B001BKW0M4/?coliid=I29OS5OJ1RCV6T&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
A power supply for the Arduino - <a href="https://www.amazon.co.uk/dp/B017YW2FKY/?coliid=I2XXSZLYFDW21&colid=2D50O6HX9YF49&psc=1&ref_=lv_ov_lig_dp_it">via Amazon.co.uk</a><br />
<br />
The current plan (always subject to change) is to connect all the above components as follows:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-en5ygKzdx4M/XR51tZigi_I/AAAAAAAAg90/Thi5uD8KVys0AH5ZC7tSt6kPa-jah4IxQCLcBGAs/s1600/Full%2Bfan%2Bcontroller%2Bschematic.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="879" data-original-width="917" height="612" src="https://1.bp.blogspot.com/-en5ygKzdx4M/XR51tZigi_I/AAAAAAAAg90/Thi5uD8KVys0AH5ZC7tSt6kPa-jah4IxQCLcBGAs/s640/Full%2Bfan%2Bcontroller%2Bschematic.png" width="640" /></a></div>
<br />
Please excuse the crudeness of the diagram...I didn't have time to sort everything as much as I would have liked but it gets the general idea across...I've missed off the power supply to the arduino. It is also connected to the incoming mains and then to the dc input socket on the arduino. Not all of the relays need to be controlled by the arduino so some of the wires can be omitted as necessary and finally the reed switch should have a 10 k resistor pulling it down to 0 Vdc as with the buttons so that it is properly detected by the microcontroller input.<br />
<br />
That's enough for now. The next post will be on testing and using the Elegoo 8 channel relay module.<br />
<br />
Take care, especially with mains wiring - Langster!</div>
</div>
</div>
Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com0tag:blogger.com,1999:blog-1118055223601902892.post-77212690951146564222019-06-20T22:22:00.000+01:002019-06-26T14:24:04.000+01:00How to Drive a Solenoid from a MicrocontrollerI haven't posted anything in a long time...I just haven't been motivated enough to do so. I suppose it could be because I'm busy but its more likely I've just lacked the energy to do anything.<br />
<br />
I recently designed a simple FET driver circuit for energising a solenoid. It wasn't particularly special in my opinion but I thought it might be useful to share the experience so here goes:<br />
<br />
I had to drive a solenoid based circuit for an electronic lock from a microcontroller. As a solenoid is essentially an inductive load I would need a transistor based circuit to control the supply voltage from a 3.3 Vdc signal generated by an STM32 microcontroller. In order to actuate the solenoid a short pulse (less than a second) was required from a 12 Vdc or 24 Vdc supply.<br />
<br />
A solenoid is an electro-magnetic actuator which creates a concentrated magnetic field. Here are a couple of links about solenoids and how they work:<br />
<br />
<a href="https://sciencing.com/a-solenoid-work-4567178.html">https://sciencing.com/a-solenoid-work-4567178.html</a><br />
<br />
<a href="https://en.wikipedia.org/wiki/Solenoid">https://en.wikipedia.org/wiki/Solenoid</a><br />
<br />
A simple switching circuit is all that is required to energise the solenoid coil and actuate it. Electronic switching can be performed in many ways - a relay switch in itself is a form of electro-magnetic actuator. In my case I decided to use a Field Effect Transistor or FET. I've blogged about field effect transistors in the past:<br />
<br />
<a href="https://langster1980.blogspot.com/2012/09/using-field-effect-transistors.html">https://langster1980.blogspot.com/2012/09/using-field-effect-transistors.html</a><br />
<br />
The same principles apply. Recently I read an excellent blog post by James Lewis - 'The Bald Engineer' on some of the misconceptions about using field effect transistors...I recommend looking at James's website. He writes some excellent articles and makes some great video content on electronics engineering.<br />
<br />
<a href="https://www.baldengineer.com/7-mosfet-myths-and-misconceptions-addressed.html#more-7748">https://www.baldengineer.com/7-mosfet-myths-and-misconceptions-addressed.html#more-7748</a><br />
<br />
As I had to drive the field effect transistor directly from a microcontroller pin at 3.3 Vdc I needed what is known as a logic level FET - That is a device that will allow current to flow between the drain and the source pins when the gate pin is supplied with logic level voltages, logic level voltages are normally classed as 1.8 Vdc, 3.3 Vdc and 5 Vdc. In the case for the microcontroller I am using - an STM32F439 a logic 1 (high) is 3.3 Vdc. Therefore I needed a FET which had a VGS on threshold that was at or below 3.3 Vdc. I chose to use the IRLZ44 as I had some of those components and I also had a spice model for them so I can simulate the circuit.<br />
<br />
From some brief measurements of my solenoid I found that it had a series resistance of about 33 ohms and I guessed it's inductance at 3 Henrys....I didn't have my LCR meter to hand to measure it....I might dig that out later to see what the inductance actually is.<br />
<br />
Here is the datasheet for the IRLZ44: <a href="http://www.irf.com/product-info/datasheets/data/irlz44n.pdf">http://www.irf.com/product-info/datasheets/data/irlz44n.pdf</a><br />
<br />
I'm using the T0220 version for my circuit:<br />
<br />
<img alt="Image result for IRLz44" src="data:image/jpeg;base64,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" /><br />
<br />
The critical parameters I was interested in are:<br />
<br />
VDSS = 55 Vdc - The maximum voltage allowed on the drain pin with respect to the source pin.<br />
<br />
RDS(on) = 0.022 Ω - The resistance of the drain with respect to the source pin when the transistor is on.<br />
<br />
ID = 47 Amps - The maximum current allowed to flow from the drain to the source.<br />
<br />
VGS On Threshold = 2.0 Vdc - The voltage at which the FET turns OFF - Thanks James, I always wrong about this too! This means in order to turn the FET on (cause conduction between the drain and source pins we need to surpass this this voltage at the gate pin. If the voltage at the gate pin drops below this voltage the transistor will stop conducting from the drain pin to the source pin. The parameter name is a little confusing but hey ho...<br />
<br />
So what does this all mean. It means that I can turn the transistor on using an output pin from a <br />
3.3 Vdc microcontroller and that will allow conduction through the drain pin to the source pin which will allow me to control the voltage supplied to the solenoid.<br />
<br />
Here is the circuit:<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://1.bp.blogspot.com/-3RLYKDqKrQc/XQvuxP926nI/AAAAAAAAg1E/iEcQjQrMH1g3gcw2rAXo2gU9SDD5wn0IACLcBGAs/s1600/Simple%2BSolenoid%2BDriver%2BCircuit.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="409" data-original-width="537" height="303" src="https://1.bp.blogspot.com/-3RLYKDqKrQc/XQvuxP926nI/AAAAAAAAg1E/iEcQjQrMH1g3gcw2rAXo2gU9SDD5wn0IACLcBGAs/s400/Simple%2BSolenoid%2BDriver%2BCircuit.png" width="400" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Simple Solenoid Driver Using a Logic Level FET</td></tr>
</tbody></table>
Here is the circuit's operation. A 3.3 Vdc pulse will be supplied from the microcontroller. This pulse is applied to the gate of the IRLZ44 FET through a 270 Ω resistor (R2). The resistor R2 is present to prevent a large in-rush current being presented to the gate pin of the FET. It isn't always necessary if one is only applying long slow voltage pulses to the gate. I always add a resistor as I like to be certain there will not be any in rush current issues. The 10 kΩ resistor (R1) is a pull down resistor. It ensures that the gate pin of the IRLZ44 when not driven from the microcontroller is in a known state - low. It prevents the FET from spuriously switching on or off. The drain of the FET is connected to the solenoid (modelled in this case by the 33 Ω resistor and the 3 H inductor. The schottkey diode (D1) is present to prevent the back-emf generated by the inductive load switching on and off damaging the FET. D1 is sometimes called a 'free wheel diode' or the 'flyback' diode....More on this later.<br />
<br />
The voltage source VCC and switch S1 are not really present but a way of simulating what the output from the microcontroller will be. If S1 is closed for a short period the signal presented to the gate pin will be similar to the output pulse from the microcontroller. This pulse voltage will cause the FET to become active allowing current to flow from the drain to the source which in turn will allow voltage to flow through the solenoid causing it to actuate (create a magnetic field which pulls the pin into the coil).<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-fCCeWpfyGZM/XQv0Yal2VvI/AAAAAAAAg1Q/cXb2PMVjCCgt1_qUZMZkzZOIIavWonQfACLcBGAs/s1600/FET%2Bdriver%2Bwith%2BOscilloscope.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="438" data-original-width="674" height="414" src="https://1.bp.blogspot.com/-fCCeWpfyGZM/XQv0Yal2VvI/AAAAAAAAg1Q/cXb2PMVjCCgt1_qUZMZkzZOIIavWonQfACLcBGAs/s640/FET%2Bdriver%2Bwith%2BOscilloscope.png" width="640" /></a></div>
If we were to simulate the operation of the circuit and observe the input and the output with an oscilloscope connected as shown above this is what would be seen:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-y18XFEkayek/XQv0wMgJrqI/AAAAAAAAg1Y/BOvYhy0unskijcQztka6mEbF3Cfjvn1HwCLcBGAs/s1600/FET%2Bcircuit%2BOscilloscope%2BOutput.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="446" data-original-width="552" height="516" src="https://1.bp.blogspot.com/-y18XFEkayek/XQv0wMgJrqI/AAAAAAAAg1Y/BOvYhy0unskijcQztka6mEbF3Cfjvn1HwCLcBGAs/s640/FET%2Bcircuit%2BOscilloscope%2BOutput.png" width="640" /></a></div>
<br />
The red trace is the the solenoid operation and the blue trace is the input from the microcontroller - modelled in this case by the switch S1 and the 3.3 Vdc voltage supply.<br />
<br />
So our circuit simulates will and will work. The FET operates properly from a 3.3 Vdc supply and can go active within a milli-second. More than adequate for our purpose!<br />
<br />
The only thing left I wanted to discuss was the flyback diode D1...it's needed as when an inductive load has voltage and current applied it converts the electrical energy into magnetic energy creating a concentrated magnetic field. When an inductive load is has the voltage and current removed the magnetic field collapses and any left over energy is passed back to the drive circuit as a large voltage spike. This spike is often well over 100 Volts for a short period - more than enough to damage the Field Effect Transistor. Therefore the flyback diode is applied to prevent this damaging voltage spike passing to the FET.<br />
<br />
To illustrate the point here is a circuit with a switch added to the flyback diode. As the switch is open the diode is not connected. When the magnetic field collapses this is what is passed back to the FET:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-5eAUdX3zzvc/XQv3skxfFJI/AAAAAAAAg1k/Vv-xz53HCLg4WWfTFnXSFqyQyCY125mQQCLcBGAs/s1600/Flyback%2BCircuit.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="431" data-original-width="670" height="410" src="https://1.bp.blogspot.com/-5eAUdX3zzvc/XQv3skxfFJI/AAAAAAAAg1k/Vv-xz53HCLg4WWfTFnXSFqyQyCY125mQQCLcBGAs/s640/Flyback%2BCircuit.png" width="640" /></a></div>
<br />
Here is the oscilloscope trace:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-8NqoIhFI9UE/XQv3yWU9ItI/AAAAAAAAg1o/YyENA6JJUwEplG6yT7ksiSOvJ-KFUoilQCLcBGAs/s1600/No%2BFlyback%2BDiode%2BOscilloscope%2BOutput.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="446" data-original-width="552" height="516" src="https://1.bp.blogspot.com/-8NqoIhFI9UE/XQv3yWU9ItI/AAAAAAAAg1o/YyENA6JJUwEplG6yT7ksiSOvJ-KFUoilQCLcBGAs/s640/No%2BFlyback%2BDiode%2BOscilloscope%2BOutput.png" width="640" /></a></div>
<br />
If the flyback diode was not present in the circuit the FET would fail fairly quickly...<br />
<br />
I'll add some photos of the circuit I built shortly to show it in operation. It works perfectly for my application. Take care people - Langster!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-7iajR2QE3XE/XRNwavh5ofI/AAAAAAAAg3k/4I9tqRl7B48hiuZkQjjqh07nfWjtOlFJQCLcBGAs/s1600/20190621_092922.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1600" data-original-width="778" height="320" src="https://1.bp.blogspot.com/-7iajR2QE3XE/XRNwavh5ofI/AAAAAAAAg3k/4I9tqRl7B48hiuZkQjjqh07nfWjtOlFJQCLcBGAs/s320/20190621_092922.jpg" width="155" /></a></div>
<br />
<br />
<br />
<br />
Here is a quick video of the circuit on a bread board. It was driving an electronic lock using 5 Vdc as the gate voltage:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<iframe allowfullscreen='allowfullscreen' webkitallowfullscreen='webkitallowfullscreen' mozallowfullscreen='mozallowfullscreen' width='320' height='266' src='https://www.blogger.com/video.g?token=AD6v5dxsErlOKY_ZzVTKXq3DPBl-FCB2bOH8NsnrvSInYt-nCrLnQ_vzkq74NzcTyH2b6j6UcIxur7V9MAfMx0d98g' class='b-hbp-video b-uploaded' frameborder='0'></iframe></div>
<br />
<br />
<br />
<br />Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com1Manchester, UK53.4807593 -2.242630500000018453.329540300000005 -2.5653540000000183 53.6319783 -1.9199070000000185tag:blogger.com,1999:blog-1118055223601902892.post-88184860890281965892018-03-12T16:38:00.004+00:002018-03-13T10:58:45.857+00:00AD9850 Signal Generator I have a bit of free time at the moment and I was looking at previous projects and what equipment I have available to me.<br />
<br />
I have couple of bench power supplies, an oscilloscope, a multimeter and a good stock of components for development. I still don't have a Signal generator! In previous posts I wrote about how I developed some addon circuitry for an AD9833 breakout board. I never actually finished that project and I have since decided that there are better DDS signal generator breakout boards available. I bought an AD9850 breakout board from a maker-faire vendor at least two years ago and it has sat in my breakout boards pile for a long time!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-l7ALWh-MyE0/WqZwW7BzIuI/AAAAAAAAaZU/UKXf05ve-tI0IIaFcy-c1jYedmDqPu_CgCLcBGAs/s1600/AD9851%2Bbreakout%2Bboard.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="446" data-original-width="520" height="274" src="https://4.bp.blogspot.com/-l7ALWh-MyE0/WqZwW7BzIuI/AAAAAAAAaZU/UKXf05ve-tI0IIaFcy-c1jYedmDqPu_CgCLcBGAs/s320/AD9851%2Bbreakout%2Bboard.png" width="320" /></a></div>
The breakout board is based on the AD9850 from Analog Devices and it's a DDS synthesis circuit. The datasheet for the device is here:<br />
<br />
<a href="http://www.analog.com/media/en/technical-documentation/data-sheets/AD9850.pdf">http://www.analog.com/media/en/technical-documentation/data-sheets/AD9850.pdf</a><br />
<br />
There are lots of tutorials and information available on the internet for how this device works and can be used to make a simple signal generator. Some of the links I used for reference are below:<br />
<br />
<a href="http://telecnatron.com/modules/ad9850/index.html">http://telecnatron.com/modules/ad9850/index.html</a><br />
<br />
<a href="http://kv4qb.blogspot.co.uk/2017/04/the-sa-becomes-sna-jrv3-for-now.html">http://kv4qb.blogspot.co.uk/2017/04/the-sa-becomes-sna-jrv3-for-now.html</a><br />
<br />
The number of projects and posts available for this board and how to use it are a testament to how good the device is and how easy it should be to use and get it up and running. I may yet build a simple scalar network analyser with one to allow for filter and amplifier testing at some point.<br />
<br />
For now I just need access to a signal generator. I should have finished the one I designed years ago or bought one off the shelf! The off the shelf devices that I see are all still quite expensive and don't have all of the functions I need. I could probably find one that did meet all of my requirements if I looked hard enough and was prepared to part with a little more money! The module itself costs £15.00 from various online vendors although I'm certain I paid less for it than that.<br />
<br />
<br />
Here is the schematic diagram for the AD9850 breakout board:<br />
<br />
<img src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjqN-C-P3DgKeWFZm8xCMfIU6-zXmL0IOAoFOGUVXjf95I-qZvTAAaLOJWr5s7ckDUCgFuVAGzBNfvikJH4Jxt7qro7L-calEPwkwclUKivYlO_WwNFBLmjxrEiqdE_DVacwq6CIJlo57M/s1600/c41y.jpg" /><br />
<br />
As can be seen there is a filtered and unfiltered output. Obviously we want to use the filtered output and see what comes out of the unit.<br />
<br />
By connecting the unit up to an arduino and using example code we should be able to get the unit up and generating signals. From that we can design an amplifier and match the circuit to 50 ohms and hopefully put together a useful piece of test equipment in short order!<br />
<br />
Helpfully there are several libraries already written for driving the module using an arduino so that saves some time and effort:<br />
<br />
<a href="https://github.com/F4GOJ/AD9850">https://github.com/F4GOJ/AD9850</a><br />
<br />
Here is how the module is connected up for testing with an arduino mega:<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://4.bp.blogspot.com/-aAMv-Mr4gZY/WqaoyO8XJnI/AAAAAAAAaZ8/NCf3-_doZ-cdhCQ65tR7VhYe2cRhsd-EgCKgBGAs/s1600/20180312_161301.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1200" data-original-width="1600" height="300" src="https://4.bp.blogspot.com/-aAMv-Mr4gZY/WqaoyO8XJnI/AAAAAAAAaZ8/NCf3-_doZ-cdhCQ65tR7VhYe2cRhsd-EgCKgBGAs/s400/20180312_161301.jpg" width="400" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">AD9850 breakout board connected to an Arduino Mega</td></tr>
</tbody></table>
For connecting up the AD9850 module:<br />
<br />
Pin 1 (Vcc), connect to the arduino +5V pin<br />
Pin 2 (W_CLK), connect to the arduino digital pin 8<br />
Pin 3 (FQ_UD), connect to the arduino digital pin 9<br />
Pin 4 (Data), connect to the arduino digital pin 10<br />
Pin 5 (Reset), connect to the arduino digital pin 11<br />
<div class="separator" style="clear: both; text-align: center;">
</div>
Pin 6 (GND), connect to the arduino GND pin<br />
<br />
Pin 10 (Filtered Sine Out), connect to an oscilloscope for testing<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-wTiDUcYaDSI/WqeuhIWJhbI/AAAAAAAAabI/9WjomvFIvOA4aqAiXQRwTgv2YDGVXEjNQCLcBGAs/s1600/AD9850%2B-%2BPartial%2Bpinout.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="446" data-original-width="637" height="448" src="https://2.bp.blogspot.com/-wTiDUcYaDSI/WqeuhIWJhbI/AAAAAAAAabI/9WjomvFIvOA4aqAiXQRwTgv2YDGVXEjNQCLcBGAs/s640/AD9850%2B-%2BPartial%2Bpinout.png" width="640" /></a></div>
<br />
Here is the code I used to test the module:<br />
<br />
<pre style="background: #f0f0f0; border: 1px dashed #cccccc; color: black; font-family: "arial"; font-size: 12px; height: auto; line-height: 20px; overflow: auto; padding: 0px; text-align: left; width: 99%;"><code style="color: black; word-wrap: normal;"> // Langster's DDS test Code
// Date: 12/03/2018
// Makes use of m0xpd's DDS Arduino Library
// http://m0xpd.blogspot.com
// include the DDS Library:
#include <DDS.h>
// AD9850 Module is connected to the following
// arduino pins
const int W_CLK = 8;
const int FQ_UD = 9;
const int DATA = 10;
const int RESET = 11;
double freq = 0;
// Instantiate the DDS...
DDS dds(W_CLK, FQ_UD, DATA, RESET);
void setup() {
// start up the DDS...
dds.init();
// (Optional) change value if the clock crystal does not resonate at 125MHz...
dds.trim(125000000); // enter actual oscillation frequency
// start the oscillator...
dds.setFrequency(freq);
}
void loop() {
dds.setFrequency(1000); //set the frequency output to 1 kHz
}
</code></pre>
<br />
The code will initiate the DDS module and then set an output of 1 kHz.<br />
<br />
I connected up the filtered sine output to an oscilloscope and here is the result:<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://3.bp.blogspot.com/-FuhtP7w19Ko/WqarrdvVdMI/AAAAAAAAaaY/Jiq_NKjva_U75IBRpcuu11CMXzmGj6_ZgCKgBGAs/s1600/20180312_161310.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="1200" data-original-width="1600" height="300" src="https://3.bp.blogspot.com/-FuhtP7w19Ko/WqarrdvVdMI/AAAAAAAAaaY/Jiq_NKjva_U75IBRpcuu11CMXzmGj6_ZgCKgBGAs/s400/20180312_161310.jpg" width="400" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">1 kHz sine output from Ad9850 DDS Module</td></tr>
</tbody></table>
A nice clean 1 Vpk to pk, 1 kHz sine wave! The square wave output was also present and in good shape. I'm going to perform more testing to check the useable frequency range but so far so good.<br />
<br />
By changing the value in the line:<br />
<br />
dds.setFrequency(1000); //set the frequency output to 1 kHz<br />
<br />
The frequency output is changed.<br />
<br />
That's all for now - Langster!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com10tag:blogger.com,1999:blog-1118055223601902892.post-71572359538164626192017-09-17T16:24:00.001+01:002017-09-18T15:32:42.664+01:00Pulse Oximeter Functionality for a Medical DeviceIt has again been some time since I did any work on the medical device I have been developing, I've as usual, been busy! The previous post is here in case it is of interest:<br />
<br />
<a href="http://langster1980.blogspot.co.uk/2017/06/myoware-muscle-sensor-circuits-from.html">http://langster1980.blogspot.co.uk/2017/06/myoware-muscle-sensor-circuits-from.html</a><br />
<br />
The gentlemen for whom I'm developing this hardware for has requested some additional functionality. The additional functionality requested is a Pulse Oximetry measurement. Pulse Oximetry is the measurement of a person's pulse along with how much oxygen is present within their blood. It is a common measurement made by medical practitioners to ensure their patients are in good health. I suspect for the medical device, this information will be correlated with a person's breathing to assess how well a person's lungs are working and how much oxygen from the air is getting into their blood.<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://2.bp.blogspot.com/-DrT6aDIuz4c/Wb5xtHW9hbI/AAAAAAAAXuo/TAuJCgzYo7sp0rIskkBgU38LVfQ6dWhAACLcBGAs/s1600/Diagram%2Bof%2Ba%2Bsimple%2Bpulse%2Boximeter.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="150" data-original-width="364" height="262" src="https://2.bp.blogspot.com/-DrT6aDIuz4c/Wb5xtHW9hbI/AAAAAAAAXuo/TAuJCgzYo7sp0rIskkBgU38LVfQ6dWhAACLcBGAs/s640/Diagram%2Bof%2Ba%2Bsimple%2Bpulse%2Boximeter.png" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Image by Nonin Medical - http://www.nonin.com/What-is-Pulse-Oximetry</td></tr>
</tbody></table>
Here is an article by Nonin Medical on Pulse Oximetry:<br />
<br />
<a href="http://www.nonin.com/What-is-Pulse-Oximetry">http://www.nonin.com/What-is-Pulse-Oximetry</a><br />
<br />
Here is the wikipedia article on Pulse Oximetry:<br />
<br />
<a href="https://en.wikipedia.org/wiki/Pulse_oximetry">https://en.wikipedia.org/wiki/Pulse_oximetry</a><br />
<br />
Here is another article on Pulse Oximetry:<br />
<br />
<a href="https://www.howequipmentworks.com/pulse_oximeter/">https://www.howequipmentworks.com/pulse_oximeter/</a><br />
<br />
The measurement works by shining a bright light through the a suitable point on the patient's skin and detecting the diffused light and from that the pulse and oxygen levels can be calculated. The units used are S<span style="font-size: x-small;">P</span>O<span style="font-size: x-small;">2 </span>which stands for Peripheral Oxygen Saturation where:<br />
<br />
S<span style="font-size: x-small;">P</span> = Peripheral saturation<br />
O<span style="font-size: x-small;">2</span> = Chemical symbol for an Oxygen molecule<br />
<br />
There is a formula associated with Pulse Oximetry:<br />
<br />
<span class="mwe-math-element" style="color: #222222; font-family: sans-serif; font-size: 14px;"><img alt="S_\mathrm{p}O_\mathrm{2}=\frac{HbO_\mathrm{2}}{HbO_\mathrm{2}+Hb}" aria-hidden="true" class="mwe-math-fallback-image-inline" src="https://wikimedia.org/api/rest_v1/media/math/render/svg/e30c7b823d80de0409dc6e043bd95bd4afab48d2" style="border: 0px; display: inline-block; height: 5.843ex; margin: 0px; vertical-align: -2.338ex; width: 21.24ex;" /></span><br />
<br />
Where H<span style="font-size: x-small;">b</span>O<span style="font-size: x-small;">2</span> is Oxygenated Haemoglobin and Hb is Deoxygenated Haemoglobin*<br />
<br />
S<span style="font-size: x-small;">P</span>O<span style="font-size: x-small;">2 </span>is presented as a percentage and most people in normal conditions exhibit 94 to 99% saturation of oxygen in their blood. If a person were unwell due to breathing difficulty then their S<span style="font-size: x-small;">P</span>O<span style="font-size: x-small;">2 </span>is lower. If a person's S<span style="font-size: x-small;">P</span>O<span style="font-size: x-small;">2 </span>is below 65% then their ability to think clearly is impaired and if below 55% most people have lost consciousness.<br />
<br />
*Haemoglobin is the red coloured metallo-protein within human blood which contains iron and carries oxygen around the body.<br />
<br />
So that is the theory! Lets talk about how one might design an electronic circuit to measure a person's S<span style="font-size: x-small;">P</span>O<span style="font-size: x-small;">2 </span>level. We need to shine a light through an exposed part of the patient's skin say on the top of their index finger and measure the amount of light present at the bottom of the finger after the light has travelled through their skin. At the same time the light signal needs to be converted to an electrical signal and then filtered. It then will probably need to be amplified and finally converted from an analogue signal to a digital signal for processing by a microcontroller and finally displaying the resulting measurement.<br />
<br />
It is not a particularly difficult circuit to design and plenty of other people have already done so. I have put some of the sites I used as research below for interest:<br />
<br />
<span id="goog_1383930416"></span><a href="https://www.swharden.com/wp/2013-04-14-simple-diy-ecg-pulse-oximeter-version-2/"> https://www.swharden.com/wp/2013-04-14-simple-diy-ecg-pulse-oximeter-version-2/</a><br />
<br />
<a href="http://www.instructables.com/id/Simple-DIY-Pulse-Sensor/"> http://www.instructables.com/id/Simple-DIY-Pulse-Sensor/</a><br />
<br />
<a href="http://www.elektroda.pl/rtvforum/topic1655378.html?l=en">http://www.elektroda.pl/rtvforum/topic1655378.html?l=en</a><br />
<br />
I may yet design my own implementation as it could be one way to reduce costs. There is an Arduino R3 shield available though and it's open source. It is made by ProtoCentral and is called the Proto Central AFE4490 Pulse Oximeter Shield V2. It cost £71.12 which I actually think is a bit expensive however it does look to be well designed and implemented.<br />
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://4.bp.blogspot.com/-2I3R-YyWMpU/Wb57Hbur97I/AAAAAAAAXu4/lYTwCFyN-E0oXC2V--1ArBQzl8mmYNQ0ACLcBGAs/s1600/ProtoCentral%2BArduino%2BShield.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="800" data-original-width="800" height="400" src="https://4.bp.blogspot.com/-2I3R-YyWMpU/Wb57Hbur97I/AAAAAAAAXu4/lYTwCFyN-E0oXC2V--1ArBQzl8mmYNQ0ACLcBGAs/s400/ProtoCentral%2BArduino%2BShield.png" width="400" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">Image Credit - ProtoCentral AFE4490 Pulse Oximeter Shield for Arduino - v2</td></tr>
</tbody></table>
It can be bought from their website below:<br />
<br />
<a href="https://www.protocentral.com/sensors/1113-protocentral-afe4490-pulse-oximeter-shield-for-arduino-v2-642078949425.html">Pulse Oximeter Arduino Shield</a><br />
<br />
Because the device is completely open source (Very cool - I whole heartedly approve) the schematic is below:<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-y5f7ICEGHuU/Wb57tPMkxGI/AAAAAAAAXvA/6JOD4oOiiv4JJ_c-sbQBgok9Q2kjpfZ0wCLcBGAs/s1600/ProtoCentral%2BArduino%2BShield%2BSchematic.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="1131" data-original-width="1600" height="452" src="https://4.bp.blogspot.com/-y5f7ICEGHuU/Wb57tPMkxGI/AAAAAAAAXvA/6JOD4oOiiv4JJ_c-sbQBgok9Q2kjpfZ0wCLcBGAs/s640/ProtoCentral%2BArduino%2BShield%2BSchematic.png" width="640" /></a></div>
The whole circuit is based around a very clever integrated circuit by Texas Instruments - the <br />
AFE4490. The datasheet for this device is here:<br />
<br />
<a href="http://www.ti.com/lit/ds/sbas602h/sbas602h.pdf">http://www.ti.com/lit/ds/sbas602h/sbas602h.pdf</a><br />
<br />
Basically the optical signal from the supplied finger clip connects to the nine way 'D type' connector on the Arduino shield. The signal output is protected from being too high by the the circuit labelled 'Protection circuit' The diodes ensure that the electrical signals passed to and from the clip can never be greater than 3.3 Vdc which ensures that no damage can occur.<br />
<br />
The analogue signal sent from the clip is processed by the AD4490 device which is a complete analogue front end device for pulse oximetry. The device provides the electrical signals to drive the sensor clip, a 22 bit analogue to digital converter to change the analogue signal output from the sensor to a form which can be easily read into a microcontroller using the Serial Peripheral Interface (SPI) protocol.<br />
<br />
The next part of the circuit is a simple power supply regulator from 5 Vdc to 3.3 Vdc with some filtering to prevent electronic noise from the Arduino R3 affecting the measurement circuitry.<br />
<br />
A logic level converter device is present to ensure that the 3.3 Vdc signals from the AD4490 device are turned into 5 Vdc so that they are correctly read into the arduino and processed appropriately. There are two FET logic level converter circuits present to convert the 3.3 Vdc LED diagnostic signals to 5 Vdc for processing by the Arduino. <br />
<br />
The final section shows how all the connections on the Shield connect to the Arduino R3.<br />
<br />
The circuit looks to be exactly how one would use the AD4490 having read the datasheet in it's entirety. Reading and understanding or interpreting datasheets is a true skill needed for aspiring electronics design engineers. <br />
<br />
ProtoCentral have very helpfully provided example code which can be downloaded from their github site:<br />
<br />
<a href="https://github.com/Protocentral/AFE4490_Oximeter/tree/master/arduino">https://github.com/Protocentral/AFE4490_Oximeter/tree/master/arduino</a><br />
<br />
I've uploaded the text only code to my arduino R3.<br />
<br />
Lets see the circuit in action!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgEcIW7alfSTx05zqhxmXK_1rJH7iVlx3DCwYl3BVTZTIEbNTdib0Sef7yF8TM3phVg8zySASj-VyKLYiFW1V0vKEdxqbMWFLQJTg5GXqk9c0u6enkB8sLSg5L0_fOdnPTUPlZsL6lbkWY/s1600/Pulse+Oximeter+on+my+finger.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="900" data-original-width="1600" height="360" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgEcIW7alfSTx05zqhxmXK_1rJH7iVlx3DCwYl3BVTZTIEbNTdib0Sef7yF8TM3phVg8zySASj-VyKLYiFW1V0vKEdxqbMWFLQJTg5GXqk9c0u6enkB8sLSg5L0_fOdnPTUPlZsL6lbkWY/s640/Pulse+Oximeter+on+my+finger.jpg" width="640" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
</div>
<div class="separator" style="clear: both; text-align: center;">
</div>
<br />
Here is the serial output:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-aAHI9PeZmmo/Wb6HxFf9-CI/AAAAAAAAXwo/C033sPRxrA44QBxO4xEL0olgBxy3RhZrwCLcBGAs/s1600/Serial%2Boutput.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="772" data-original-width="1090" height="452" src="https://2.bp.blogspot.com/-aAHI9PeZmmo/Wb6HxFf9-CI/AAAAAAAAXwo/C033sPRxrA44QBxO4xEL0olgBxy3RhZrwCLcBGAs/s640/Serial%2Boutput.png" width="640" /></a></div>
<br />
Well there we have it - I'm alive, my blood is well oxygenated and my heart is beating normally! Each measurement is taken once a second and if the clip is removed an error is reported. <br />
<br />
I modified the code a little so that I can use the excellent telemetry viewer Java applet to graph the output:<br />
<br />
<a href="http://farrellf.com/TelemetryViewer/">http://farrellf.com/TelemetryViewer/</a><br />
<br />
Here is the modified Arduino code in case it's needed:<br />
<br />
<pre style="background: #f0f0f0; border: 1px dashed #cccccc; color: black; font-family: "arial"; font-size: 12px; height: auto; line-height: 20px; overflow: auto; padding: 0px; text-align: left; width: 99%;"><code style="color: black; word-wrap: normal;"> //////////////////////////////////////////////////////////////////////////////////////////
//
// AFE44xx Arduino Firmware
//
// Copyright (c) 2016 ProtoCentral
//
// SpO2 computation based on original code from Maxim Integrated
//
// This software is licensed under the MIT License(http://opensource.org/licenses/MIT).
//
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT
// NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.
// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
// WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
//
// For information on how to use the HealthyPi, visit https://github.com/Protocentral/afe44xx_Oximeter
/////////////////////////////////////////////////////////////////////////////////////////
#include <string.h>
#include <SPI.h>
#include <math.h>
//afe44xx Register definition
#define CONTROL0 0x00
#define LED2STC 0x01
#define LED2ENDC 0x02
#define LED2LEDSTC 0x03
#define LED2LEDENDC 0x04
#define ALED2STC 0x05
#define ALED2ENDC 0x06
#define LED1STC 0x07
#define LED1ENDC 0x08
#define LED1LEDSTC 0x09
#define LED1LEDENDC 0x0a
#define ALED1STC 0x0b
#define ALED1ENDC 0x0c
#define LED2CONVST 0x0d
#define LED2CONVEND 0x0e
#define ALED2CONVST 0x0f
#define ALED2CONVEND 0x10
#define LED1CONVST 0x11
#define LED1CONVEND 0x12
#define ALED1CONVST 0x13
#define ALED1CONVEND 0x14
#define ADCRSTCNT0 0x15
#define ADCRSTENDCT0 0x16
#define ADCRSTCNT1 0x17
#define ADCRSTENDCT1 0x18
#define ADCRSTCNT2 0x19
#define ADCRSTENDCT2 0x1a
#define ADCRSTCNT3 0x1b
#define ADCRSTENDCT3 0x1c
#define PRPCOUNT 0x1d
#define CONTROL1 0x1e
#define SPARE1 0x1f
#define TIAGAIN 0x20
#define TIA_AMB_GAIN 0x21
#define LEDCNTRL 0x22
#define CONTROL2 0x23
#define SPARE2 0x24
#define SPARE3 0x25
#define SPARE4 0x26
#define SPARE4 0x26
#define RESERVED1 0x27
#define RESERVED2 0x28
#define ALARM 0x29
#define LED2VAL 0x2a
#define ALED2VAL 0x2b
#define LED1VAL 0x2c
#define ALED1VAL 0x2d
#define LED2ABSVAL 0x2e
#define LED1ABSVAL 0x2f
#define DIAG 0x30
#define count 60
#define CES_CMDIF_PKT_START_1 0x0A
#define CES_CMDIF_PKT_START_2 0xFA
#define CES_CMDIF_TYPE_DATA 0x02
#define CES_CMDIF_PKT_STOP 0x0B
//int IRheartsignal[count];
//int Redheartsignal[count];
int IRdc[count];
int Reddc[count];
double difIRheartsig_dc;
double difREDheartsig_dc;
double powdifIR;
double powdifRed;
double IRac;
double Redac;
double SpOpercentage;
double Ratio;
const int SPISTE = 7; // chip select
const int SPIDRDY = 2; // data ready pin
volatile int drdy_trigger = LOW;
void afe44xxInit (void);
void afe44xxWrite (uint8_t address, uint32_t data);
uint32_t afe44xxRead (uint8_t address);
signed long average_BPM( signed long );
volatile char DataPacketHeader[6];
volatile char DataPacket[10];
volatile char DataPacketFooter[2];
int datalen = 0x09;
unsigned long time;
volatile static int SPI_RX_Buff_Count = 0;
volatile char *SPI_RX_Buff_Ptr;
volatile int afe44xx_data_ready = false;
volatile unsigned int pckt =0, buff=0,t=0;
unsigned long ueegtemp = 0, ueegtemp2 = 0;
unsigned long IRtemp,REDtemp;
signed long seegtemp=0, seegtemp2=0;
volatile int i;
uint16_t aun_ir_buffer[100]; //infrared LED sensor data
uint16_t aun_red_buffer[100]; //red LED sensor data
#define FS 25 //sampling frequency
#define BUFFER_SIZE (FS*4)
#define MA4_SIZE 4 // DONOT CHANGE
#define min(x,y) ((x) < (y) ? (x) : (y))
const uint8_t uch_spo2_table[184]={ 95, 95, 95, 96, 96, 96, 97, 97, 97, 97, 97, 98, 98, 98, 98, 98, 99, 99, 99, 99,
99, 99, 99, 99, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
100, 100, 100, 100, 99, 99, 99, 99, 99, 99, 99, 99, 98, 98, 98, 98, 98, 98, 97, 97,
97, 97, 96, 96, 96, 96, 95, 95, 95, 94, 94, 94, 93, 93, 93, 92, 92, 92, 91, 91,
90, 90, 89, 89, 89, 88, 88, 87, 87, 86, 86, 85, 85, 84, 84, 83, 82, 82, 81, 81,
80, 80, 79, 78, 78, 77, 76, 76, 75, 74, 74, 73, 72, 72, 71, 70, 69, 69, 68, 67,
66, 66, 65, 64, 63, 62, 62, 61, 60, 59, 58, 57, 56, 56, 55, 54, 53, 52, 51, 50,
49, 48, 47, 46, 45, 44, 43, 42, 41, 40, 39, 38, 37, 36, 35, 34, 33, 31, 30, 29,
28, 27, 26, 25, 23, 22, 21, 20, 19, 17, 16, 15, 14, 12, 11, 10, 9, 7, 6, 5,
3, 2, 1 } ;
static int32_t an_x[ BUFFER_SIZE];
static int32_t an_y[ BUFFER_SIZE];
volatile int8_t n_buffer_count; //data length
int32_t n_spo2; //SPO2 value
int8_t ch_spo2_valid; //indicator to show if the SPO2 calculation is valid
int32_t n_heart_rate; //heart rate value
int8_t ch_hr_valid; //indicator to show if the heart rate calculation is valid
long status_byte=0;
uint8_t LeadStatus=0;
boolean leadoff_deteted = true;
uint8_t spo2_probe_open = false;
int dec=0;
void setup()
{
Serial.begin(57600);
Serial.println("Initialising AFE44xx.. ");
delay(2000) ; // pause for a moment
SPI.begin();
// set the directions
pinMode (SPISTE,OUTPUT);//Slave Select
pinMode (SPIDRDY,INPUT);// data ready
attachInterrupt(0, afe44xx_drdy_event, RISING ); // Digital2 is attached to Data ready pin of AFE is interrupt0 in ARduino
// set SPI transmission
SPI.setClockDivider (SPI_CLOCK_DIV8); // set Speed as 2MHz , 16MHz/ClockDiv
SPI.setDataMode (SPI_MODE0); //Set SPI mode as 0
SPI.setBitOrder (MSBFIRST); //MSB first
// Packet structure
DataPacketHeader[0] = CES_CMDIF_PKT_START_1; //packet header1 0x0A
DataPacketHeader[1] = CES_CMDIF_PKT_START_2; //packet header2 0xFA
DataPacketHeader[2] = datalen; // data length 9
DataPacketHeader[3] = (uint8_t)(datalen >> 8);
DataPacketHeader[4] = CES_CMDIF_TYPE_DATA;
DataPacketFooter[0] = 0x00;
DataPacketFooter[1] = CES_CMDIF_PKT_STOP;
afe44xxInit ();
Serial.println("Initialisation Complete!");
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void loop()
{
if (drdy_trigger == HIGH)
{
detachInterrupt(0);
afe44xxWrite(CONTROL0,0x000001);
IRtemp = afe44xxRead(LED1VAL);
afe44xxWrite(CONTROL0,0x000001);
REDtemp = afe44xxRead(LED2VAL);
afe44xx_data_ready = true;
}
if(afe44xx_data_ready == true)
{
IRtemp = (unsigned long) (IRtemp<<10);
seegtemp = (signed long) (IRtemp);
seegtemp = (signed long) (seegtemp>>10);
REDtemp = (unsigned long) (REDtemp<<10);
seegtemp2 = (signed long) (REDtemp);
seegtemp2 = (signed long) (seegtemp2>>10);
if(dec==20)
{
aun_ir_buffer[n_buffer_count]=(uint16_t) (seegtemp>>4);
aun_red_buffer[n_buffer_count]=(uint16_t) (seegtemp2>>4);
n_buffer_count++;
dec=0;
}
dec++;
if(n_buffer_count>99)
{
estimate_spo2(aun_ir_buffer, 100, aun_red_buffer, &n_spo2, &ch_spo2_valid,&n_heart_rate, &ch_hr_valid);
if(n_spo2 == -999)
Serial.println("Probe error!!!!");
else
{
// removed by AL to allow live graphing by telemetry viewer
//Serial.print("calculating sp02...");
//Serial.print(" Sp02 : ");
//Serial.print(n_spo2);
//Serial.print("% ,");
//Serial.print("Pulse rate :");
//Serial.print(n_heart_rate);
//Serial.println(" bpm");
Serial.print("SP02 (%), Pulse Rate (BPM)");
Serial.print(n_spo2);
Serial.print(",");
Serial.print(n_heart_rate);
Serial.print(",");
Serial.println();
}
n_buffer_count=0;
}
afe44xx_data_ready = false;
drdy_trigger = LOW;
attachInterrupt(0, afe44xx_drdy_event, RISING );
}
}
///////// Gets Fired on DRDY event/////////////////////////////
void afe44xx_drdy_event()
{
drdy_trigger = HIGH;
}
////////////////AFE44xx initialization//////////////////////////////////////////
void afe44xxInit (void)
{
// Serial.println("afe44xx Initialization Starts");
afe44xxWrite(CONTROL0,0x000000);
afe44xxWrite(CONTROL0,0x000008);
afe44xxWrite(TIAGAIN,0x000000); // CF = 5pF, RF = 500kR
afe44xxWrite(TIA_AMB_GAIN,0x000001);
afe44xxWrite(LEDCNTRL,0x001414);
afe44xxWrite(CONTROL2,0x000000); // LED_RANGE=100mA, LED=50mA
afe44xxWrite(CONTROL1,0x010707); // Timers ON, average 3 samples
afe44xxWrite(PRPCOUNT, 0X001F3F);
afe44xxWrite(LED2STC, 0X001770);
afe44xxWrite(LED2ENDC,0X001F3E);
afe44xxWrite(LED2LEDSTC,0X001770);
afe44xxWrite(LED2LEDENDC,0X001F3F);
afe44xxWrite(ALED2STC, 0X000000);
afe44xxWrite(ALED2ENDC, 0X0007CE);
afe44xxWrite(LED2CONVST,0X000002);
afe44xxWrite(LED2CONVEND, 0X0007CF);
afe44xxWrite(ALED2CONVST, 0X0007D2);
afe44xxWrite(ALED2CONVEND,0X000F9F);
afe44xxWrite(LED1STC, 0X0007D0);
afe44xxWrite(LED1ENDC, 0X000F9E);
afe44xxWrite(LED1LEDSTC, 0X0007D0);
afe44xxWrite(LED1LEDENDC, 0X000F9F);
afe44xxWrite(ALED1STC, 0X000FA0);
afe44xxWrite(ALED1ENDC, 0X00176E);
afe44xxWrite(LED1CONVST, 0X000FA2);
afe44xxWrite(LED1CONVEND, 0X00176F);
afe44xxWrite(ALED1CONVST, 0X001772);
afe44xxWrite(ALED1CONVEND, 0X001F3F);
afe44xxWrite(ADCRSTCNT0, 0X000000);
afe44xxWrite(ADCRSTENDCT0,0X000000);
afe44xxWrite(ADCRSTCNT1, 0X0007D0);
afe44xxWrite(ADCRSTENDCT1, 0X0007D0);
afe44xxWrite(ADCRSTCNT2, 0X000FA0);
afe44xxWrite(ADCRSTENDCT2, 0X000FA0);
afe44xxWrite(ADCRSTCNT3, 0X001770);
afe44xxWrite(ADCRSTENDCT3, 0X001770);
delay(1000);
// Serial.println("afe44xx Initialization Done");
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void afe44xxWrite (uint8_t address, uint32_t data)
{
digitalWrite (SPISTE, LOW); // enable device
SPI.transfer (address); // send address to device
SPI.transfer ((data >> 16) & 0xFF); // write top 8 bits
SPI.transfer ((data >> 8) & 0xFF); // write middle 8 bits
SPI.transfer (data & 0xFF); // write bottom 8 bits
digitalWrite (SPISTE, HIGH); // disable device
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
unsigned long afe44xxRead (uint8_t address)
{
unsigned long data=0;
digitalWrite (SPISTE, LOW); // enable device
SPI.transfer (address); // send address to device
//SPI.transfer (data);
data |= ((unsigned long)SPI.transfer (0)<<16); // read top 8 bits data
data |= ((unsigned long)SPI.transfer (0)<<8); // read middle 8 bits data
data |= SPI.transfer (0); // read bottom 8 bits data
digitalWrite (SPISTE, HIGH); // disable device
return data; // return with 24 bits of read data
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void estimate_spo2(uint16_t *pun_ir_buffer, int32_t n_ir_buffer_length, uint16_t *pun_red_buffer, int32_t *pn_spo2, int8_t *pch_spo2_valid, int32_t *pn_heart_rate, int8_t *pch_hr_valid)
{
uint32_t un_ir_mean,un_only_once ;
int32_t k, n_i_ratio_count;
int32_t i, s, m, n_exact_ir_valley_locs_count, n_middle_idx;
int32_t n_th1, n_npks, n_c_min;
int32_t an_ir_valley_locs[15] ;
int32_t n_peak_interval_sum;
int32_t n_y_ac, n_x_ac;
int32_t n_spo2_calc;
int32_t n_y_dc_max, n_x_dc_max;
int32_t n_y_dc_max_idx, n_x_dc_max_idx;
int32_t an_ratio[5], n_ratio_average;
int32_t n_nume, n_denom ;
// calculates DC mean and subtract DC from ir
un_ir_mean =0;
for (k=0 ; k<n_ir_buffer_length ; k++ ) un_ir_mean += pun_ir_buffer[k] ;
un_ir_mean =un_ir_mean/n_ir_buffer_length ;
// remove DC and invert signal so that we can use peak detector as valley detector
for (k=0 ; k<n_ir_buffer_length ; k++ )
an_x[k] = -1*(pun_ir_buffer[k] - un_ir_mean) ;
// 4 pt Moving Average
for(k=0; k< BUFFER_SIZE-MA4_SIZE; k++){
an_x[k]=( an_x[k]+an_x[k+1]+ an_x[k+2]+ an_x[k+3])/(int)4;
}
// calculate threshold
n_th1=0;
for ( k=0 ; k<BUFFER_SIZE ;k++){
n_th1 += an_x[k];
}
n_th1= n_th1/ ( BUFFER_SIZE);
if( n_th1<30) n_th1=30; // min allowed
if( n_th1>60) n_th1=60; // max allowed
for ( k=0 ; k<15;k++) an_ir_valley_locs[k]=0;
// since we flipped signal, we use peak detector as valley detector
find_peak( an_ir_valley_locs, &n_npks, an_x, BUFFER_SIZE, n_th1, 4, 15 );//peak_height, peak_distance, max_num_peaks
n_peak_interval_sum =0;
if (n_npks>=2){
for (k=1; k<n_npks; k++) n_peak_interval_sum += (an_ir_valley_locs[k] -an_ir_valley_locs[k -1] ) ;
n_peak_interval_sum =n_peak_interval_sum/(n_npks-1);
*pn_heart_rate =(int32_t)( (FS*60)/ n_peak_interval_sum );
*pch_hr_valid = 1;
}
else {
*pn_heart_rate = -999; // unable to calculate because # of peaks are too small
*pch_hr_valid = 0;
}
// load raw value again for SPO2 calculation : RED(=y) and IR(=X)
for (k=0 ; k<n_ir_buffer_length ; k++ ) {
an_x[k] = pun_ir_buffer[k] ;
an_y[k] = pun_red_buffer[k] ;
}
// find precise min near an_ir_valley_locs
n_exact_ir_valley_locs_count =n_npks;
//using exact_ir_valley_locs , find ir-red DC andir-red AC for SPO2 calibration an_ratio
//finding AC/DC maximum of raw
n_ratio_average =0;
n_i_ratio_count = 0;
for(k=0; k< 5; k++) an_ratio[k]=0;
for (k=0; k< n_exact_ir_valley_locs_count; k++){
if (an_ir_valley_locs[k] > BUFFER_SIZE ){
*pn_spo2 = -999 ; // do not use SPO2 since valley loc is out of range
*pch_spo2_valid = 0;
return;
}
}
// find max between two valley locations
// and use an_ratio betwen AC compoent of Ir & Red and DC compoent of Ir & Red for SPO2
for (k=0; k< n_exact_ir_valley_locs_count-1; k++){
n_y_dc_max= -16777216 ;
n_x_dc_max= -16777216;
if (an_ir_valley_locs[k+1]-an_ir_valley_locs[k] >3){
for (i=an_ir_valley_locs[k]; i< an_ir_valley_locs[k+1]; i++){
if (an_x[i]> n_x_dc_max) {n_x_dc_max =an_x[i]; n_x_dc_max_idx=i;}
if (an_y[i]> n_y_dc_max) {n_y_dc_max =an_y[i]; n_y_dc_max_idx=i;}
}
n_y_ac= (an_y[an_ir_valley_locs[k+1]] - an_y[an_ir_valley_locs[k] ] )*(n_y_dc_max_idx -an_ir_valley_locs[k]); //red
n_y_ac= an_y[an_ir_valley_locs[k]] + n_y_ac/ (an_ir_valley_locs[k+1] - an_ir_valley_locs[k]) ;
n_y_ac= an_y[n_y_dc_max_idx] - n_y_ac; // subracting linear DC compoenents from raw
n_x_ac= (an_x[an_ir_valley_locs[k+1]] - an_x[an_ir_valley_locs[k] ] )*(n_x_dc_max_idx -an_ir_valley_locs[k]); // ir
n_x_ac= an_x[an_ir_valley_locs[k]] + n_x_ac/ (an_ir_valley_locs[k+1] - an_ir_valley_locs[k]);
n_x_ac= an_x[n_y_dc_max_idx] - n_x_ac; // subracting linear DC compoenents from raw
n_nume=( n_y_ac *n_x_dc_max)>>7 ; //prepare X100 to preserve floating value
n_denom= ( n_x_ac *n_y_dc_max)>>7;
if (n_denom>0 && n_i_ratio_count <5 && n_nume != 0)
{
an_ratio[n_i_ratio_count]= (n_nume*100)/n_denom ; //formular is ( n_y_ac *n_x_dc_max) / ( n_x_ac *n_y_dc_max) ;
n_i_ratio_count++;
}
}
}
// choose median value since PPG signal may varies from beat to beat
sort_ascend(an_ratio, n_i_ratio_count);
n_middle_idx= n_i_ratio_count/2;
if (n_middle_idx >1)
n_ratio_average =( an_ratio[n_middle_idx-1] +an_ratio[n_middle_idx])/2; // use median
else
n_ratio_average = an_ratio[n_middle_idx ];
if( n_ratio_average>2 && n_ratio_average <184){
n_spo2_calc= uch_spo2_table[n_ratio_average] ;
*pn_spo2 = n_spo2_calc ;
*pch_spo2_valid = 1;// float_SPO2 = -45.060*n_ratio_average* n_ratio_average/10000 + 30.354 *n_ratio_average/100 + 94.845 ; // for comparison with table
}
else{
*pn_spo2 = -999 ; // do not use SPO2 since signal an_ratio is out of range
*pch_spo2_valid = 0;
}
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void find_peak( int32_t *pn_locs, int32_t *n_npks, int32_t *pn_x, int32_t n_size, int32_t n_min_height, int32_t n_min_distance, int32_t n_max_num )
/**
* \brief Find peaks
* \par Details
* Find at most MAX_NUM peaks above MIN_HEIGHT separated by at least MIN_DISTANCE
*
* \retval None
*/
{
find_peak_above( pn_locs, n_npks, pn_x, n_size, n_min_height );
remove_close_peaks( pn_locs, n_npks, pn_x, n_min_distance );
*n_npks = min( *n_npks, n_max_num );
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void find_peak_above( int32_t *pn_locs, int32_t *n_npks, int32_t *pn_x, int32_t n_size, int32_t n_min_height )
/**
* \brief Find peaks above n_min_height
* \par Details
* Find all peaks above MIN_HEIGHT
*
* \retval None
*/
{
int32_t i = 1, n_width;
*n_npks = 0;
while (i < n_size-1){
if (pn_x[i] > n_min_height && pn_x[i] > pn_x[i-1]){ // find left edge of potential peaks
n_width = 1;
while (i+n_width < n_size && pn_x[i] == pn_x[i+n_width]) // find flat peaks
n_width++;
if (pn_x[i] > pn_x[i+n_width] && (*n_npks) < 15 ){ // find right edge of peaks
pn_locs[(*n_npks)++] = i;
// for flat peaks, peak location is left edge
i += n_width+1;
}
else
i += n_width;
}
else
i++;
// Serial.println("beat");
}
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void remove_close_peaks(int32_t *pn_locs, int32_t *pn_npks, int32_t *pn_x, int32_t n_min_distance)
/**
* \brief Remove peaks
* \par Details
* Remove peaks separated by less than MIN_DISTANCE
*
* \retval None
*/
{
int32_t i, j, n_old_npks, n_dist;
/* Order peaks from large to small */
sort_indices_descend( pn_x, pn_locs, *pn_npks );
for ( i = -1; i < *pn_npks; i++ ){
n_old_npks = *pn_npks;
*pn_npks = i+1;
for ( j = i+1; j < n_old_npks; j++ ){
n_dist = pn_locs[j] - ( i == -1 ? -1 : pn_locs[i] ); // lag-zero peak of autocorr is at index -1
if ( n_dist > n_min_distance || n_dist < -n_min_distance )
pn_locs[(*pn_npks)++] = pn_locs[j];
}
}
// Resort indices int32_to ascending order
sort_ascend( pn_locs, *pn_npks );
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void sort_ascend(int32_t *pn_x, int32_t n_size)
/**
* \brief Sort array
* \par Details
* Sort array in ascending order (insertion sort algorithm)
*
* \retval None
*/
{
int32_t i, j, n_temp;
for (i = 1; i < n_size; i++) {
n_temp = pn_x[i];
for (j = i; j > 0 && n_temp < pn_x[j-1]; j--)
pn_x[j] = pn_x[j-1];
pn_x[j] = n_temp;
}
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////
void sort_indices_descend( int32_t *pn_x, int32_t *pn_indx, int32_t n_size)
/**
* \brief Sort indices
* \par Details
* Sort indices according to descending order (insertion sort algorithm)
*
* \retval None
*/
{
int32_t i, j, n_temp;
for (i = 1; i < n_size; i++) {
n_temp = pn_indx[i];
for (j = i; j > 0 && pn_x[n_temp] > pn_x[pn_indx[j-1]]; j--)
pn_indx[j] = pn_indx[j-1];
pn_indx[j] = n_temp;
}
}
</code></pre>
<br />
Here is the output from Telemetry Viewer 0.4:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-fag6Q-YFbl4/Wb6R9ryPcPI/AAAAAAAAXxA/7jYj5IeZX9IDJE_j8qvp6_0xBUKueN9oQCLcBGAs/s1600/telemetry%2Boutput.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="984" data-original-width="1280" height="492" src="https://4.bp.blogspot.com/-fag6Q-YFbl4/Wb6R9ryPcPI/AAAAAAAAXxA/7jYj5IeZX9IDJE_j8qvp6_0xBUKueN9oQCLcBGAs/s640/telemetry%2Boutput.png" width="640" /></a></div>
<br />
I think this is actually a very well implemented product and if I can use it as part of my project it will save me months of development...my only concern is cost and that it updates slowly and it also uses most of the program memory available on an ATMEL 328P Microcontroller. One final comment I might make is that the example code provided is very long and complex. It would be better if the initialisation functions and the measurement calculation functions were moved to a library. It will make reading and writing code much simpler.<br />
<br />
Whether I use this arduino shield or not is up for debate...I will probably discuss things further and come to a decision later.<br />
<br />
Well that's all for now - Langster!Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com6tag:blogger.com,1999:blog-1118055223601902892.post-58690602884003845062017-09-12T00:01:00.004+01:002017-09-12T18:35:57.641+01:00Using Components in VHDLRecently I was asked to provide a bit of assistance with some VHDL code - One of the blog readers was looking to implement a logic based CPU on the Mimas V2 Development board. I don't claim to be an expert in VHDL but the crux of his issue was using pre-written code in one project several times. The beauty of FPGA technology is that as long as there is space within the device and pins available it is possible to have as many logic functions as one wants!<br />
<br />
There comes a point in FPGA programming where trying to put all of the code in one single source file becomes really awkward. The file would become very long to read and debug and it may make more sense to take a modular approach and re-use code from previous designs. Luckily for the FPGA design engineer it is possible to write and design modules in VHDL very easily and each module can be tested and used on it's own.<br />
<br />
The way to implement this is to use the component keyword in VHDL and 'instantiate' as many of the modules or blocks of code as one wants.<br />
<br />
In previous posts I have already used this method but I haven't really ever discussed it explicitly so here goes:<br />
In a VHDL source module the code is organised into section statements known as:<br />
<ul>
<li><strong>Entities</strong> - The statement which defines the external input and output connections of the module.</li>
<li><strong>Architectures</strong> - This is the code section which actually tells or defines the function of the module.</li>
<li><strong>Components</strong> - A statement within the architecture section which allows the designer to link internal signals or external signals with pre-written VHDL code in another module.</li>
<li><strong>Instances</strong> - A statement which actually creates the 'instance' of the external module code within another module. A designer can have multiple instances of a module within a design with one component statement as long as all of the connections are correctly port mapped.</li>
</ul>
Here is an example of an Entity statement:<br />
<div style="line-height: 125%; margin: 0;">
<span style="color: #008800; font-weight: bold;"><br /></span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">entity</span> <span style="color: #bb0066; font-weight: bold;">EntitySection</span> <span style="color: #008800; font-weight: bold;">is</span></span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> Port</span> ( clkinput <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">in</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>;</span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"> input1 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">in</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>;</span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"> input2 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">in</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>;</span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"> input3 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">in</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>;</span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"> output1 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">out</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>;</span></div>
<div style="line-height: 125%; margin: 0;">
<span style="font-family: "courier new" , "courier" , monospace;"> output2 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">out</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>);</span></div>
<span style="color: #008800; font-family: "courier new" , "courier" , monospace; font-weight: bold;">end</span><span style="font-family: "courier new" , "courier" , monospace;"> </span><span style="color: #bb0066; font-family: "courier new" , "courier" , monospace; font-weight: bold;">EntitySection</span><span style="font-family: "courier new" , "courier" , monospace;">;</span><br />
<div style="line-height: 125%; margin: 0;">
<br /></div>
You can have as many inputs and outputs as you like and there can be combined inputs and outputs. The green text are keywords and cannot be used as labels. The red text are labels which are used to remind the designer what the entity's purpose is. The black text are the defined inputs and outputs. The blue text are the type of inputs and outputs.<br />
<br />
Here is an example of an Architecture statement:<br />
<span style="color: #008800; font-weight: bold;"><br /></span>
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">architecture</span> <span style="color: #bb0066; font-weight: bold;">Behavioral</span> <span style="color: #008800; font-weight: bold;">of</span> <span style="color: #bb0066; font-weight: bold;">EntitySection</span> <span style="color: #008800; font-weight: bold;">is</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">begin</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> input1 <span style="color: #333333;"><=</span> <span style="color: #008800; font-weight: bold;">not</span> input2; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">end</span> <span style="color: #bb0066; font-weight: bold;">Behavioral</span>;</span><br />
<br />
This is the section of code that defines how the internal signals and external signals will interact to realise the function required. As before the green text are keywords, the red text are labels and the black text are the inputs and outputs.<br />
<br />
Here is an example of a Component statement:<br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"><br /></span></span>
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">COMPONENT</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> PORT</span>( input1 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">IN</span> <span style="color: #333399; font-weight: bold;">std_logic</span>; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> output1 <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">OUT</span> <span style="color: #333399; font-weight: bold;">std_logic</span>); </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">END</span> <span style="color: #008800; font-weight: bold;">COMPONENT</span>;</span><br />
<br />
As above, the different colours relate to keywords, labels, definitions and types.<br />
Here is an example of a Instantiation statement:<br />
<span style="font-family: "courier new" , "courier" , monospace;"><br /></span>
<span style="font-family: "courier new" , "courier" , monospace;">Inst_Not_gate<span style="color: #333333;">:</span> Not_gate </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> PORT</span> <span style="color: #008800; font-weight: bold;">MAP</span>(</span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> input1 <span style="color: #333333;">=></span> input_signal1, </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> output1 <span style="color: #333333;">=></span> output_signal1 </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> );</span><br />
<br />
The instantiation code is the way the designer defines how the signals from the component module connect to the signals within the source module. These signals in the source module could be internal or external signals.<br />
<br />
As an example lets write some simple two input logic gates in VHDL code and then'instantiate multiple versions of them in VHDL and then simulate their function and then finally show the results working on the Mimas V2 FPGA Development board. In theory any FPGA development board could be made to work including the Elbert V2 (I will share the Elbert V2 version also as I know some people are using those boards).<br />
<br />
Load up Xilinx WebISE and start a new project - I called mine Lots of gates and placed it in a suitable folder on the hard disk.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-ov8vSQJMx-M/WbfPhJOW-iI/AAAAAAAAXpU/qXeBZNQDnjk6aDPNjBcSAbtgjSw3xUgMwCLcBGAs/s1600/image001.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="564" data-original-width="628" height="574" src="https://3.bp.blogspot.com/-ov8vSQJMx-M/WbfPhJOW-iI/AAAAAAAAXpU/qXeBZNQDnjk6aDPNjBcSAbtgjSw3xUgMwCLcBGAs/s640/image001.png" width="640" /></a></div>
<br />
Click next when ready to continue...<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-SBF0gPMQV2E/WbfPhEA9UaI/AAAAAAAAXpQ/bHaV761LFME3Tvq0VSpXw--YHYyU1n_SgCLcBGAs/s1600/image002.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="565" data-original-width="628" height="574" src="https://1.bp.blogspot.com/-SBF0gPMQV2E/WbfPhEA9UaI/AAAAAAAAXpQ/bHaV761LFME3Tvq0VSpXw--YHYyU1n_SgCLcBGAs/s640/image002.png" width="640" /></a></div>
<br />
Input the settings shown (These are correct for the Numato Labs Mimas V2 development board).<br />
Then click next when ready...<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-Ko0LRIpbdH8/WbfPhM5dl6I/AAAAAAAAXpY/YyLN6uQUaisFlZP1oHo9Fr2Qm0LHRZA6ACLcBGAs/s1600/image003.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="565" data-original-width="628" height="574" src="https://2.bp.blogspot.com/-Ko0LRIpbdH8/WbfPhM5dl6I/AAAAAAAAXpY/YyLN6uQUaisFlZP1oHo9Fr2Qm0LHRZA6ACLcBGAs/s640/image003.png" width="640" /></a></div>
<br />
Click Finish to return to the main project screen within Xilinx WebISE:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-2AQFJUCxVKQ/WbfPhfJQSsI/AAAAAAAAXpc/x23uCimtBjAAxlAvgmbZNh4AIw6_xZr1wCLcBGAs/s1600/image004.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://3.bp.blogspot.com/-2AQFJUCxVKQ/WbfPhfJQSsI/AAAAAAAAXpc/x23uCimtBjAAxlAvgmbZNh4AIw6_xZr1wCLcBGAs/s640/image004.png" width="640" /></a></div>
<br />
Next right click on the Hierarchy window and select Add new source:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-PzAqyk3vfx8/WbfPhrRjTOI/AAAAAAAAXpg/mMa1lkD0s-gl3i5Lki7RcP5eyQycpeq4gCLcBGAs/s1600/image005.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="469" data-original-width="640" height="468" src="https://3.bp.blogspot.com/-PzAqyk3vfx8/WbfPhrRjTOI/AAAAAAAAXpg/mMa1lkD0s-gl3i5Lki7RcP5eyQycpeq4gCLcBGAs/s640/image005.png" width="640" /></a></div>
<br />
Select VHDL source module and give it a suitable name - I called mine Not_gate:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-jNWWNd6htWQ/WbfPhvMCa7I/AAAAAAAAXpk/1XoD3Is_RwUUkDN27DoZL0Kpv_Xky8ATACLcBGAs/s1600/image006.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="443" data-original-width="613" height="462" src="https://4.bp.blogspot.com/-jNWWNd6htWQ/WbfPhvMCa7I/AAAAAAAAXpk/1XoD3Is_RwUUkDN27DoZL0Kpv_Xky8ATACLcBGAs/s640/image006.png" width="640" /></a></div>
<br />
Click Next when ready to continue and enter the inputs and outputs for the Not_gate module. I chose to have an input and an output - to create a single inverter.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-gtiTJ-pf9xE/WbfPh8_Lq9I/AAAAAAAAXpo/Fgd_fmxvnR0YaBUYSlRS-nuX9eydl5c9wCLcBGAs/s1600/image007.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="443" data-original-width="613" height="462" src="https://3.bp.blogspot.com/-gtiTJ-pf9xE/WbfPh8_Lq9I/AAAAAAAAXpo/Fgd_fmxvnR0YaBUYSlRS-nuX9eydl5c9wCLcBGAs/s640/image007.png" width="640" /></a></div>
<br />
Click Next when ready to continue and display the summary page:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-bHAJBZfj9pc/WbfPh5H40KI/AAAAAAAAXps/IXsqr-PTcg4RMmwBzzW5FyvR3Q_RAe-iwCLcBGAs/s1600/image008.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="443" data-original-width="613" height="462" src="https://1.bp.blogspot.com/-bHAJBZfj9pc/WbfPh5H40KI/AAAAAAAAXps/IXsqr-PTcg4RMmwBzzW5FyvR3Q_RAe-iwCLcBGAs/s640/image008.png" width="640" /></a></div>
<br />
Click Finish to return to the main project window:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-11H98ktGbPc/WbfPiDZ4YhI/AAAAAAAAXpw/dNwPTHbQdf8pi5LsMLYNkTlgpxxke0QqACLcBGAs/s1600/image009.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://3.bp.blogspot.com/-11H98ktGbPc/WbfPiDZ4YhI/AAAAAAAAXpw/dNwPTHbQdf8pi5LsMLYNkTlgpxxke0QqACLcBGAs/s640/image009.png" width="640" /></a></div>
<br />
The WebISE software has helpfully created some code for us based on the decisions we made. I like to delete the comments (green text) as I don't find them helpful:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-62R5GVSpUjA/WbfPiNzK5CI/AAAAAAAAXp0/KcKy0kAd21wKacQVD2QI9pMw7hTt3BkGACLcBGAs/s1600/image010.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://1.bp.blogspot.com/-62R5GVSpUjA/WbfPiNzK5CI/AAAAAAAAXp0/KcKy0kAd21wKacQVD2QI9pMw7hTt3BkGACLcBGAs/s640/image010.png" width="640" /></a></div>
<br />
Now we need to write the architecture statement to make the module behave as an inverter or Not gate. It is very simple as the inverter function is already present within VHDL. Here is the code for the entire module:<br />
<strong><br /></strong><span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">library</span> <span style="color: #0e84b5; font-weight: bold;">IEEE</span>; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">use</span> <span style="color: #0e84b5; font-weight: bold;">IEEE.STD_LOGIC_1164.ALL</span>; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"><br /></span></span>
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">entity</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span> <span style="color: #008800; font-weight: bold;">is</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> Port</span> ( A <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">in</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> B <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">out</span> <span style="color: #333399; font-weight: bold;">STD_LOGIC</span>); </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> end</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span>; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"><br /></span></span>
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;">architecture</span> <span style="color: #bb0066; font-weight: bold;">Behavioral</span> <span style="color: #008800; font-weight: bold;">of</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span> <span style="color: #008800; font-weight: bold;">is</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> begin</span> </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"> B <span style="color: #333333;"><=</span> <span style="color: #008800; font-weight: bold;">not</span> A; </span><br />
<span style="font-family: "courier new" , "courier" , monospace;"><span style="color: #008800; font-weight: bold;"> end</span> <span style="color: #bb0066; font-weight: bold;">Behavioral</span>;</span><br />
<br />
Now save the module - just in case...and then right click on the synthesize – XST process and select run. The software checks the code written is correct. Once the process has completed there will be a green tick on that section:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-a-Tl_ZQx7-Y/WbfPibB-deI/AAAAAAAAXp8/JaLkDQygsYoRrIhJyijc4nlSv1KKPYyjgCLcBGAs/s1600/image011.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="481" data-original-width="640" height="480" src="https://2.bp.blogspot.com/-a-Tl_ZQx7-Y/WbfPibB-deI/AAAAAAAAXp8/JaLkDQygsYoRrIhJyijc4nlSv1KKPYyjgCLcBGAs/s640/image011.png" width="640" /></a></div>
<br />
Now let’s simulate the code we have just written to ensure it works properly before we use it. It's always a good idea to simulate things to make sure that it works as intended.<br />
<br />
Click on the simulate radio button on the Hierarchy window:<br />
<br />
<a href="https://4.bp.blogspot.com/-LoR3t21Q9XQ/WbfPiX6zCNI/AAAAAAAAXp4/oGUoyKAdWh4NJo-xVzHf0zOk8mDLMSJBQCLcBGAs/s1600/image012.png"><img border="0" src="https://4.bp.blogspot.com/-LoR3t21Q9XQ/WbfPiX6zCNI/AAAAAAAAXp4/oGUoyKAdWh4NJo-xVzHf0zOk8mDLMSJBQCLcBGAs/s640/image012.png" /></a><br />
<div>
<br /></div>
<div>
Next right click on the Hierarchy window and select Add new source:<br />
<div>
<br />
<a href="https://4.bp.blogspot.com/-nz13DZ0_AUs/WbfPikpylDI/AAAAAAAAXqA/87-kGd9RuFkfKVGFBtmaD0fYN8rHzpQBACLcBGAs/s1600/image013.png"><img border="0" src="https://4.bp.blogspot.com/-nz13DZ0_AUs/WbfPikpylDI/AAAAAAAAXqA/87-kGd9RuFkfKVGFBtmaD0fYN8rHzpQBACLcBGAs/s640/image013.png" /></a></div>
<div>
<br /></div>
<div>
Select VHDL test bench and call the file something sensible...I called mine Not_gate_tb. Click Next when ready to continue:<br />
<br />
<a href="https://3.bp.blogspot.com/-1vIKt-L3phY/WbfPiphv9YI/AAAAAAAAXqE/Ol6_aWvFfmMKpOPfJDlZNNkZsZfNBLt5ACLcBGAs/s1600/image014.png"><img border="0" src="https://3.bp.blogspot.com/-1vIKt-L3phY/WbfPiphv9YI/AAAAAAAAXqE/Ol6_aWvFfmMKpOPfJDlZNNkZsZfNBLt5ACLcBGAs/s640/image014.png" /></a><br />
<br />
Associate the test bench source file with the code you wish to simulate...click Next when ready to continue:<br />
<br />
<a href="https://4.bp.blogspot.com/-47bw-IjCdXc/WbfPi8kMXdI/AAAAAAAAXqI/NrCKyqn96kUegStGwxolzKQiW1N3mSayQCLcBGAs/s1600/image015.png"><img border="0" src="https://4.bp.blogspot.com/-47bw-IjCdXc/WbfPi8kMXdI/AAAAAAAAXqI/NrCKyqn96kUegStGwxolzKQiW1N3mSayQCLcBGAs/s640/image015.png" /></a><br />
<br />
Click finish to continue and return to the main project window:<br />
<br />
<a href="https://3.bp.blogspot.com/-fakKVAU2qVw/WbfPjIqNuzI/AAAAAAAAXqM/cgBi7q6dpCEyODYw8OcXY_qp1_PZb-xkwCLcBGAs/s1600/image016.png"><img border="0" src="https://3.bp.blogspot.com/-fakKVAU2qVw/WbfPjIqNuzI/AAAAAAAAXqM/cgBi7q6dpCEyODYw8OcXY_qp1_PZb-xkwCLcBGAs/s640/image016.png" /></a><br />
<br />
Helpfully Xilinx WebISE has automatically generated the test bench code for us...unhelpfully it has also introduced some errors. Don't worry about these for now...the code generated is expecting a clock source to be present. There isn't a clock source in our design as we did not need one. We will remove the errors when we modify the test bench code. Again I like remove the top comments as I don't need them. Comments are useful but only when necessary...<br />
<br />
Here is the code with the comments removed:<br />
<pre style="line-height: 125%; margin: 0;"><span style="color: #008800; font-weight: bold;">
</span></pre>
<pre style="line-height: 125%; margin: 0;"><span style="color: #008800; font-weight: bold;">LIBRARY</span> <span style="color: #0e84b5; font-weight: bold;">ieee</span>;
<span style="color: #008800; font-weight: bold;">USE</span> <span style="color: #0e84b5; font-weight: bold;">ieee.std_logic_1164.ALL</span>;
<span style="color: #008800; font-weight: bold;">ENTITY</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span> <span style="color: #008800; font-weight: bold;">IS</span>
<span style="color: #008800; font-weight: bold;">END</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span>;
<span style="color: #008800; font-weight: bold;">ARCHITECTURE</span> <span style="color: #bb0066; font-weight: bold;">behavior</span> <span style="color: #008800; font-weight: bold;">OF</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span> <span style="color: #008800; font-weight: bold;">IS</span>
<span style="color: #888888;">-- Component Declaration for the Unit Under Test (UUT)</span>
<span style="color: #008800; font-weight: bold;">COMPONENT</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span>
<span style="color: #008800; font-weight: bold;">PORT</span>(
A <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">IN</span> <span style="color: #333399; font-weight: bold;">std_logic</span>;
B <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">OUT</span> <span style="color: #333399; font-weight: bold;">std_logic</span>
);
<span style="color: #008800; font-weight: bold;">END</span> <span style="color: #008800; font-weight: bold;">COMPONENT</span>;
<span style="color: #888888;">--Inputs</span>
<span style="color: #008800; font-weight: bold;">signal</span> A <span style="color: #333333;">:</span> <span style="color: #333399; font-weight: bold;">std_logic</span> <span style="color: #333333;">:=</span> <span style="color: #0044dd;">'0'</span>;
<span style="color: #888888;">--Outputs</span>
<span style="color: #008800; font-weight: bold;">signal</span> B <span style="color: #333333;">:</span> <span style="color: #333399; font-weight: bold;">std_logic</span>;
<span style="color: #888888;">-- No clocks detected in port list. Replace <clock> below with </span>
<span style="color: #888888;">-- appropriate port name </span>
<span style="color: #008800; font-weight: bold;">constant</span> <span style="color: #333333;"><</span>clock<span style="color: #333333;">></span>_period <span style="color: #333333;">:</span> <span style="color: #333399; font-weight: bold;">time</span> <span style="color: #333333;">:=</span> <span style="color: #0000dd; font-weight: bold;">10</span> ns;
<span style="color: #008800; font-weight: bold;">BEGIN</span>
<span style="color: #888888;">-- Instantiate the Unit Under Test (UUT)</span>
uut<span style="color: #333333;">:</span> Not_gate <span style="color: #008800; font-weight: bold;">PORT</span> <span style="color: #008800; font-weight: bold;">MAP</span> (
A <span style="color: #333333;">=></span> A,
B <span style="color: #333333;">=></span> B
);
<span style="color: #888888;">-- Clock process definitions</span>
<span style="color: #333333;"><</span>clock<span style="color: #333333;">></span>_process <span style="color: #333333;">:</span><span style="color: #008800; font-weight: bold;">process</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #333333;"><</span>clock<span style="color: #333333;">></span> <span style="color: #333333;"><=</span> <span style="color: #0044dd;">'0'</span>;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #333333;"><</span>clock<span style="color: #333333;">></span>_period<span style="color: #333333;">/</span><span style="color: #0000dd; font-weight: bold;">2</span>;
<span style="color: #333333;"><</span>clock<span style="color: #333333;">></span> <span style="color: #333333;"><=</span> <span style="color: #0044dd;">'1'</span>;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #333333;"><</span>clock<span style="color: #333333;">></span>_period<span style="color: #333333;">/</span><span style="color: #0000dd; font-weight: bold;">2</span>;
<span style="color: #008800; font-weight: bold;">end</span> <span style="color: #008800; font-weight: bold;">process</span>;
<span style="color: #888888;">-- Stimulus process</span>
stim_proc<span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">process</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #888888;">-- hold reset state for 100 ns.</span>
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #333333;"><</span>clock<span style="color: #333333;">></span>_period<span style="color: #333333;">*</span><span style="color: #0000dd; font-weight: bold;">10</span>;
<span style="color: #888888;">-- insert stimulus here </span>
<span style="color: #008800; font-weight: bold;">wait</span>;
<span style="color: #008800; font-weight: bold;">end</span> <span style="color: #008800; font-weight: bold;">process</span>;
<span style="color: #008800; font-weight: bold;">END</span>;
</pre>
<br />
Now we need to remove the code relating to the automatically generated clock as this isn't <br />
required:<br />
<br />
<pre style="line-height: 125%; margin: 0;"><span style="color: #008800; font-weight: bold;">LIBRARY</span> <span style="color: #0e84b5; font-weight: bold;">ieee</span>;
<span style="color: #008800; font-weight: bold;">USE</span> <span style="color: #0e84b5; font-weight: bold;">ieee.std_logic_1164.ALL</span>;
<span style="color: #008800; font-weight: bold;">ENTITY</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span> <span style="color: #008800; font-weight: bold;">IS</span>
<span style="color: #008800; font-weight: bold;">END</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span>;
<span style="color: #008800; font-weight: bold;">ARCHITECTURE</span> <span style="color: #bb0066; font-weight: bold;">behavior</span> <span style="color: #008800; font-weight: bold;">OF</span> <span style="color: #bb0066; font-weight: bold;">Not_gate_tb</span> <span style="color: #008800; font-weight: bold;">IS</span>
<span style="color: #888888;">-- Component Declaration for the Unit Under Test (UUT)</span>
<span style="color: #008800; font-weight: bold;">COMPONENT</span> <span style="color: #bb0066; font-weight: bold;">Not_gate</span>
<span style="color: #008800; font-weight: bold;">PORT</span>(
A <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">IN</span> <span style="color: #333399; font-weight: bold;">std_logic</span>;
B <span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">OUT</span> <span style="color: #333399; font-weight: bold;">std_logic</span>
);
<span style="color: #008800; font-weight: bold;">END</span> <span style="color: #008800; font-weight: bold;">COMPONENT</span>;
<span style="color: #888888;">--Inputs</span>
<span style="color: #008800; font-weight: bold;">signal</span> A <span style="color: #333333;">:</span> <span style="color: #333399; font-weight: bold;">std_logic</span> <span style="color: #333333;">:=</span> <span style="color: #0044dd;">'0'</span>;
<span style="color: #888888;">--Outputs</span>
<span style="color: #008800; font-weight: bold;">signal</span> B <span style="color: #333333;">:</span> <span style="color: #333399; font-weight: bold;">std_logic</span>;
<span style="color: #008800; font-weight: bold;">BEGIN</span>
<span style="color: #888888;">-- Instantiate the Unit Under Test (UUT)</span>
uut<span style="color: #333333;">:</span> Not_gate <span style="color: #008800; font-weight: bold;">PORT</span> <span style="color: #008800; font-weight: bold;">MAP</span> (
A <span style="color: #333333;">=></span> A,
B <span style="color: #333333;">=></span> B
);
<span style="color: #888888;">-- Stimulus process</span>
stim_proc<span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">process</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #888888;">-- hold reset state for 100 ns.</span>
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
<span style="color: #888888;">-- insert stimulus here </span>
<span style="color: #008800; font-weight: bold;">wait</span>;
<span style="color: #008800; font-weight: bold;">end</span> <span style="color: #008800; font-weight: bold;">process</span>;
<span style="color: #008800; font-weight: bold;">END</span>;
</pre>
<br />
The code generated actually uses the component statement so our automatically generated code is a perfect example of how a statement should be used! In the architecture statement we can see the component declaration for the Not_gate. Below that section some internal signals are defined to connect to the component we would like to simulate. Underneath that section we have the instantiation section which creates a version of the not_gate called 'uut' and maps the internal signal connections to the component signal connections. Now we need to write some code in the stimulus process section which sets the A input signal to a known value so that the simulator can run the code in the Not_gate module and display what will happen at the output signal - B.<br />
<br />
As the module is an inverter or not gate it should be obvious that whatever logic level is present at the output signal is the opposite or inverse of the input signal. For more complicated modules this might be more difficult to assess which is why simulation is useful. It is also possible to see if there are any timing or sequencing issues present with more complicated modules. Simulation can be a very useful diagnostic tool when developing FPGA code.<br />
<br />
Let’s write the stimulus VHDL code to test the module code and provide the simulator some information. Let’s set the 'A' input of the inverter to logic '1' for 100 ns and then set it to logic '0' for 100 ns and then set it to an unknown logic level 'X' for 100 ns. When we run the simulator we will be able to visually see what the output signal 'B' does when presented with those input 'stimuli' or logic states.<br />
<br />
*Stimuli - a latin word which is the plural of stimulus, to provide a specific functional reaction!<br />
<br />
Here is the code:<br />
<br />
<pre style="line-height: 125%; margin: 0;"><span style="color: #888888;">-- Stimulus process</span>
stim_proc<span style="color: #333333;">:</span> <span style="color: #008800; font-weight: bold;">process</span>
<span style="color: #008800; font-weight: bold;">begin</span>
<span style="color: #888888;">-- hold reset state for 100 ns.</span>
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
A <span style="color: #333333;"><=</span> <span style="color: #0044dd;">'1'</span>;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
A <span style="color: #333333;"><=</span> <span style="color: #0044dd;">'0'</span>;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
A <span style="color: #333333;"><=</span> <span style="color: #0044dd;">'X'</span>;
<span style="color: #008800; font-weight: bold;">wait</span> <span style="color: #008800; font-weight: bold;">for</span> <span style="color: #0000dd; font-weight: bold;">100</span> ns;
<span style="color: #008800; font-weight: bold;">wait</span>;
<span style="color: #008800; font-weight: bold;">end</span> <span style="color: #008800; font-weight: bold;">process</span>;
</pre>
<br />
Let’s save the code and run the simulator! Click on the Not_gate VHDL test bench module in the Hierarchy window: <br />
<div class="separator" style="clear: both; text-align: left;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhzMM7-caUuadaQugnG4TedDiQ9seaPbfYL7Si_dDf0PRkLIDS4tVYTP-LU6-HsTRfLZyByBZi1NBHVgCsK-KbjH6IbddLCiifWZdTMtj9ot312xOtJM36xEr9EdfoMAtu3gcwEHo_04b8/s1600/image017.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="531" data-original-width="640" height="530" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhzMM7-caUuadaQugnG4TedDiQ9seaPbfYL7Si_dDf0PRkLIDS4tVYTP-LU6-HsTRfLZyByBZi1NBHVgCsK-KbjH6IbddLCiifWZdTMtj9ot312xOtJM36xEr9EdfoMAtu3gcwEHo_04b8/s640/image017.png" width="640" /></a></div>
<br />
Next right click on 'Behavioral Check Syntax' in the process window and select Run:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-6iLejxpwoKM/WbfPjPTyhcI/AAAAAAAAXqQ/1QhMYfCnEOgNzbDoRotKuNBuWdGABQfeACLcBGAs/s1600/image018.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="475" data-original-width="640" height="474" src="https://1.bp.blogspot.com/-6iLejxpwoKM/WbfPjPTyhcI/AAAAAAAAXqQ/1QhMYfCnEOgNzbDoRotKuNBuWdGABQfeACLcBGAs/s640/image018.png" width="640" /></a></div>
<br />
Once the process has completed there should be a green tick present:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
</div>
<br />
<div class="separator" style="clear: both; text-align: left;">
<a href="https://2.bp.blogspot.com/-gtz4Ofrlhq4/WbfYxn2HSFI/AAAAAAAAXsE/_5CUvZSzrcEXBKyoFVRbEFK1HlBaoBAEQCLcBGAs/s1600/image024.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="522" data-original-width="640" height="522" src="https://2.bp.blogspot.com/-gtz4Ofrlhq4/WbfYxn2HSFI/AAAAAAAAXsE/_5CUvZSzrcEXBKyoFVRbEFK1HlBaoBAEQCLcBGAs/s640/image024.png" width="640" /></a></div>
<br />
Next right click on Simulate Behavioral Model and select Run:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-K1rYKzRdr9I/WbfPjy-fp1I/AAAAAAAAXqc/y-AhiFvbuY81D6-6vD5KbJNfu6Aspc61wCLcBGAs/s1600/image020.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="463" data-original-width="640" height="462" src="https://2.bp.blogspot.com/-K1rYKzRdr9I/WbfPjy-fp1I/AAAAAAAAXqc/y-AhiFvbuY81D6-6vD5KbJNfu6Aspc61wCLcBGAs/s640/image020.png" width="640" /></a></div>
<br />
Once the process has completed the ISIM screen will be displayed showing the results of the simulation:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-hY3dbV6EWgU/WbfPjtBCJvI/AAAAAAAAXqg/0W2c2Vzj7QkzgyZ7ckfd3MPwPwUGaiPbQCLcBGAs/s1600/image021.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://2.bp.blogspot.com/-hY3dbV6EWgU/WbfPjtBCJvI/AAAAAAAAXqg/0W2c2Vzj7QkzgyZ7ckfd3MPwPwUGaiPbQCLcBGAs/s640/image021.png" width="640" /></a></div>
<br />
At first it looks as though the simulation has failed as the result traces are red and in an X state - don't worry though that is what was expected. The last state we simulated was an 'X' state for the input signal. Click on the 'Zoom to Full View' icon on the toolbar to display the full results traces:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-6JwiUqkDEPM/WbfPj6-z0VI/AAAAAAAAXqk/AEa5SnVTDhoJFHX7Zp88VI0QjuLKlHtXwCLcBGAs/s1600/image022.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="512" data-original-width="640" height="512" src="https://1.bp.blogspot.com/-6JwiUqkDEPM/WbfPj6-z0VI/AAAAAAAAXqk/AEa5SnVTDhoJFHX7Zp88VI0QjuLKlHtXwCLcBGAs/s640/image022.png" width="640" /></a></div>
<br />
The green part of the traces show how the input 'A' went from a logic '0' to a logic '1' after 100 ns and the output 'B' changed accordingly. Then the input 'A' went from a logic '1' to a logic '0' for 100 ns and then the input 'A' was set to an unknown logic state 'X' and the output 'B' responded with a logic 'X' for the rest of the simulation - exactly what the test bench code was meant to do. So our simulation worked perfectly and more importantly the Not_gate module works perfectly!<br />
<br />
This module can now be reused as many times as we like in any of our designs - Most Excellent!<br />
<br />
Close down the ISIM application as we are finished with that program to return to the main project screen.<br />
<br />
Let’s add some more logic functions like the AND, OR, and XOR functions. I'm not going to show all of the steps with pictures this time though, it's the same process as before.<br />
<br />
Click on the implementation radio button and then add new VHDL source modules to the project. I called mine And_gate, Or_gate and XOR_gate. I chose to make them all two input devices with one output.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-QF0V7-tK-Ko/WbfPkBEEW6I/AAAAAAAAXqo/bSJBuhWhZQ8FWkON4QydPeOlSLNlf2aJACLcBGAs/s1600/image023.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="408" data-original-width="640" height="408" src="https://1.bp.blogspot.com/-QF0V7-tK-Ko/WbfPkBEEW6I/AAAAAAAAXqo/bSJBuhWhZQ8FWkON4QydPeOlSLNlf2aJACLcBGAs/s640/image023.png" width="640" /></a></div>
<br />
Here is the project window with all of the VHDL source file modules:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-Gnds1wQW5kE/WbfPkFddWQI/AAAAAAAAXqs/xfJ7To_FnIcjI3M5-L4X7NtDsnygYtESACLcBGAs/s1600/image024.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="522" data-original-width="640" height="522" src="https://1.bp.blogspot.com/-Gnds1wQW5kE/WbfPkFddWQI/AAAAAAAAXqs/xfJ7To_FnIcjI3M5-L4X7NtDsnygYtESACLcBGAs/s640/image024.png" width="640" /></a></div>
<br />
I deleted all of the comments that were not needed for each of the new modules and added the necessary code for the architecture sections. The code should be pretty self-explanatory but just in case here is the VHDL code for each logic function:<br />
<br />
<b>And Gate logic function VHDL code:</b><br />
<br />
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">library</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">use</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE.STD_LOGIC_1164.ALL</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">entity</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">And_Gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">Port</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> ( A : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">);<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">And_Gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">architecture</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">of</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">And_Gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">begin</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> Q <= A </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">and</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10pt;">;</span><br />
<br />
<b>Or Gate logic function VHDL code:</b><br />
<br />
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">library</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">use</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE.STD_LOGIC_1164.ALL</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">entity</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Or_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">Port</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> ( A : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">);<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Or_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">architecture</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">of</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Or_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">begin</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">Q <= A </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">or</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt; line-height: 115%;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt; line-height: 115%;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt; line-height: 115%;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt; line-height: 115%;">;</span><br />
<br />
<b>Xor Gate logic function VHDL code:</b><br />
<br />
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">library</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">use</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier new"; font-size: 10.0pt;">IEEE.STD_LOGIC_1164.ALL</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">entity</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Xor_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">Port</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> ( A : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">
</span><b><span style="color: #333399; font-family: "courier new"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">);<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Xor_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">architecture</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">of</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Xor_gate</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">begin</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;">Q <= A </span><b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">xor</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10.0pt;"> B;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<b><span style="color: #008800; font-family: "courier new"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10pt;"> </span><b><span style="color: #bb0066; font-family: "courier new"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier new"; font-size: 10pt;">;</span><br />
<br />
Ensure each VHDL module has the correct code. If you were so inclined you could then simulate each module to make certain the code behaves as intended. I'm not going to bother this time but for more complicated modules it's very important that it's simulated. I have saved myself hours of debugging by simulating the module behaviour before continuing.<br />
<br />
At this point I like to draw a diagram which shows what function I want all of these modules to perform when connected together. Normally I would do this before I start writing the code but as this is just an example I'm doing it now. Lets implement each logic gate into the FPGA and use the DIP switches to connect to the inputs of the logic gates and then lets connect the outputs of the logic gates to the LEDS so that we can see the results when we change the state of the DIP switches!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-lcJO9GhPtuI/WbfPkYlBEjI/AAAAAAAAXqw/YX1WR76_zeoFDnZZ3tQCntoGDXmtX3bRgCLcBGAs/s1600/image025.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="295" data-original-width="640" height="294" src="https://4.bp.blogspot.com/-lcJO9GhPtuI/WbfPkYlBEjI/AAAAAAAAXqw/YX1WR76_zeoFDnZZ3tQCntoGDXmtX3bRgCLcBGAs/s640/image025.png" width="640" /></a></div>
<br />
From the diagram we can now create a VHDL top module which will connect to the DIP switches and LED and we can then make components to call all of the other modules and connect those modules inputs and outputs to the top module's inputs and outputs. Sounds complicated but it is actually fairly simple. Lets create the top module by right clicking in the hierarchy window as before...I called mine lots_of_gates_top_module:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-B2y_biDisAo/WbfPkn8DWoI/AAAAAAAAXq0/pC2z0nojGF4EYsKTp9DMVYZlCa7CR9ubwCLcBGAs/s1600/image026.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="442" data-original-width="614" height="460" src="https://3.bp.blogspot.com/-B2y_biDisAo/WbfPkn8DWoI/AAAAAAAAXq0/pC2z0nojGF4EYsKTp9DMVYZlCa7CR9ubwCLcBGAs/s640/image026.png" width="640" /></a></div>
<br />
Click Next and lets select how many inputs and outputs we will need. We need one input and output for the Not gate, two inputs and one output for the And gate, Or gate and Xor gate. So that makes seven inputs and four outputs. Give the inputs and outputs sensible names:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-Xe2HnlsG-kw/WbfPkk4CIXI/AAAAAAAAXq4/dqbGH8PflcsyK9UB0f684wR7pncp_qktgCLcBGAs/s1600/image027.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="493" data-original-width="640" height="492" src="https://4.bp.blogspot.com/-Xe2HnlsG-kw/WbfPkk4CIXI/AAAAAAAAXq4/dqbGH8PflcsyK9UB0f684wR7pncp_qktgCLcBGAs/s640/image027.png" width="640" /></a></div>
<br />
Click next to display the summary screen:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-pizb2HQoDeQ/WbfPkmSjHfI/AAAAAAAAXq8/C3eh5sT4F5QXVYyKCLnaNX-uX8SGMyoYgCLcBGAs/s1600/image028.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="493" data-original-width="640" height="492" src="https://1.bp.blogspot.com/-pizb2HQoDeQ/WbfPkmSjHfI/AAAAAAAAXq8/C3eh5sT4F5QXVYyKCLnaNX-uX8SGMyoYgCLcBGAs/s640/image028.png" width="640" /></a></div>
<br />
Click Finish to return to the main project screen.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-hrNNNP3farw/WbfPkzyly-I/AAAAAAAAXrA/Z-bvQNInJos-GL8Yw9kfPUav4Um5MHX8wCLcBGAs/s1600/image029.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://2.bp.blogspot.com/-hrNNNP3farw/WbfPkzyly-I/AAAAAAAAXrA/Z-bvQNInJos-GL8Yw9kfPUav4Um5MHX8wCLcBGAs/s640/image029.png" width="640" /></a></div>
<br />
Again remove the comments that are not necessary. Add comments later if required...<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-m0UZ1y9NZII/WbfPlI2MPBI/AAAAAAAAXrE/5utT6FhCAIoC-v-p9pQO15h1d-VnVbb-QCLcBGAs/s1600/image030.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="401" data-original-width="640" height="400" src="https://4.bp.blogspot.com/-m0UZ1y9NZII/WbfPlI2MPBI/AAAAAAAAXrE/5utT6FhCAIoC-v-p9pQO15h1d-VnVbb-QCLcBGAs/s640/image030.png" width="640" /></a></div>
<br />
Now we need to add the component statements in the architecture section. The first<br />
component we want to add is the Not gate. There is a really cool and easy way to do this thanks to Xilinx WebISE all we have to do is click on the module we want to use and then click on the Design Utilities option in the process window and double click on 'View HDL Instantiation Template':<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-6o2fyRQYOg0/WbfPlXpd8UI/AAAAAAAAXrI/sJb43vIZDhgpM-e04JUgQh8EspJlZ4wVQCLcBGAs/s1600/image031.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="512" data-original-width="640" height="512" src="https://3.bp.blogspot.com/-6o2fyRQYOg0/WbfPlXpd8UI/AAAAAAAAXrI/sJb43vIZDhgpM-e04JUgQh8EspJlZ4wVQCLcBGAs/s640/image031.png" width="640" /></a></div>
<br />
We can then select and copy the code without the comments and paste it into the architecture section of the top module. It saves typing and it's completely correct! All we then need to do is correctly complete the port map section and our first component is done:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-V2_OLSezAno/WbfPlQvhruI/AAAAAAAAXrM/vSkUl8QuM-c77lw3ktacGeMTYRsetHU9wCLcBGAs/s1600/image032.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="471" data-original-width="640" height="470" src="https://3.bp.blogspot.com/-V2_OLSezAno/WbfPlQvhruI/AAAAAAAAXrM/vSkUl8QuM-c77lw3ktacGeMTYRsetHU9wCLcBGAs/s640/image032.png" width="640" /></a></div>
<br />
The Not_gate component signal connections map to the Not_input signal and the Not_Output_LED signal. I also changed the component instantiation label to something more meaningful. It can get awkward when you have multiple components of the same type if you don't use a sensible naming convention:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-GVcxK-8M59I/WbfPlv-c1MI/AAAAAAAAXrQ/rbi7RhrX0L46c5yVUapZPIA_gq2t6HGAACLcBGAs/s1600/image033.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://3.bp.blogspot.com/-GVcxK-8M59I/WbfPlv-c1MI/AAAAAAAAXrQ/rbi7RhrX0L46c5yVUapZPIA_gq2t6HGAACLcBGAs/s640/image033.png" width="640" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
</div>
<br />
Repeat the process for all of the other components we intend to add. Once you have done that we need to move the instantiation sections to the begin and end section of the architecture statement. Once complete the code should look like this:<br />
<strong><br /></strong>
<br />
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">library</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier"; font-size: 10.0pt;">IEEE</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">use</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier"; font-size: 10.0pt;">IEEE.STD_LOGIC_1164.ALL</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">entity</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">Port</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> (
Not_input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Not_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">);<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">architecture</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">of</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Not_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">And_Gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Or_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Xor_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">begin</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Not_gate_1: Not_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Not_input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Not_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_Gate_1: And_Gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => And_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => And_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => And_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_gate_1: Or_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Or_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Or_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => Or_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_gate_1: Xor_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Xor_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Xor_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => Xor_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;</span><span style="font-family: "courier"; font-size: 10pt;"> <o:p></o:p></span></div>
<br />
The code should be fairly self-explanatory. Save the module and now let’s simulate it to make sure it will work as intended. Using the same process as before let’s create a test bench forthe top module and make sure that everything will work.<br />
<br />
Click on the simulate radio button in the hierarchy window and then add a new source, select VHDL test bench and give it a suitable name. I called mine lots_gates_test_bench. Then associate the module with the lots_of_gates VHDL module and click finish. Then WebISE will generate some code for us. Delete the comments as necessary. After that delete the clock sections as we don't need those sections.<br />
<br />
Finally all that is needed is to write the stimuli section like before. Lets exercise each gate in turn with suitable logic levels and allow time for each state to be easily viewed on the simulator screen. We could exercise all the gates at once but I prefer to see each state separately.<br />
<br />
Here is the stimulus code:
<br />
<br />
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">library</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier"; font-size: 10.0pt;">IEEE</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">use</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #0e84b5; font-family: "courier"; font-size: 10.0pt;">IEEE.STD_LOGIC_1164.ALL</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">entity</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">Port</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> (
Not_input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Not_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_A_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_B_Input : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">in</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_Q_Output_LED : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">out</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">STD_LOGIC</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">);<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">architecture</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">of</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">lots_of_gates_top_module</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">is</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Not_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">And_Gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Or_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Xor_gate</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">IN</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">; <o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q : </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">OUT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #333399; font-family: "courier"; font-size: 10.0pt;">std_logic</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">END</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">COMPONENT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">;<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">begin</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"><o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Not_gate_1: Not_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Not_input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Not_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> And_Gate_1: And_Gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => And_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => And_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => And_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Or_gate_1: Or_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Or_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Or_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => Or_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Xor_gate_1: Xor_gate </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">PORT</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> </span><b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">MAP</span></b><span style="color: #333333; font-family: "courier"; font-size: 10.0pt;">(<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> A => Xor_A_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> B => Xor_B_Input,<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> Q => Xor_Q_Output_LED<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<span style="color: #333333; font-family: "courier"; font-size: 10.0pt;"> );<o:p></o:p></span></div>
<div class="MsoNormal" style="line-height: 12.2pt; margin-bottom: .0001pt; margin-bottom: 0cm; tab-stops: 45.8pt 91.6pt 137.4pt 183.2pt 229.0pt 274.8pt 320.6pt 366.4pt 412.2pt 458.0pt 503.8pt 549.6pt 595.4pt 641.2pt 687.0pt 732.8pt;">
<br /></div>
<b><span style="color: #008800; font-family: "courier"; font-size: 10.0pt;">end</span></b><span style="color: #333333; font-family: "courier"; font-size: 10pt;"> </span><b><span style="color: #bb0066; font-family: "courier"; font-size: 10.0pt;">Behavioral</span></b><span style="color: #333333; font-family: "courier"; font-size: 10pt;">;</span><span style="font-family: "courier"; font-size: 10pt;"> </span><br />
<br />
Let’s check the syntax and run the simulator!<br />
<br />
Here is the result, I did manipulate the traces to put the outputs next to the inputs:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-tjW_1CXHKm4/WbfZUo_QlBI/AAAAAAAAXsM/8wyYADdCmJ0Z3Gp3CaDF4VsClcNEgPoIACLcBGAs/s1600/image034.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://1.bp.blogspot.com/-tjW_1CXHKm4/WbfZUo_QlBI/AAAAAAAAXsM/8wyYADdCmJ0Z3Gp3CaDF4VsClcNEgPoIACLcBGAs/s640/image034.png" width="640" /></a></div>
<br />
Close down the simulator...It’s time for the final bit of code writing - creating the implementation constraints file to tell WebISE how the external devices will connect to the FPGA signals in our design. In the hierarchy window click on add new source and choose implementation constraints file:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://2.bp.blogspot.com/-e0aNeH3aFLE/WbfZalvCR6I/AAAAAAAAXsQ/qAeheTtl5mQ9zW6RyYyXe0SmFDEpC0TWACLcBGAs/s1600/image035.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="403" data-original-width="640" height="402" src="https://2.bp.blogspot.com/-e0aNeH3aFLE/WbfZalvCR6I/AAAAAAAAXsQ/qAeheTtl5mQ9zW6RyYyXe0SmFDEpC0TWACLcBGAs/s640/image035.png" width="640" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
</div>
Click next to continue:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgvYi32TyIf3M3FuOr0946k5qLUZty3chOibdXp-xmk6G_0z50vCl4aersqHTXZ5DwMTIPVv33jOX6XeFS5L99FbcuMd6rth-SdvnaM0OForz8H8GXHzneZe1TKBkNAFUbyCKw17Oo43FM/s1600/image036.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="493" data-original-width="640" height="492" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgvYi32TyIf3M3FuOr0946k5qLUZty3chOibdXp-xmk6G_0z50vCl4aersqHTXZ5DwMTIPVv33jOX6XeFS5L99FbcuMd6rth-SdvnaM0OForz8H8GXHzneZe1TKBkNAFUbyCKw17Oo43FM/s640/image036.png" width="640" /></a></div>
<br />
Click Finish to return to the main project screen. Now we need to decide how we want our DIP switches and LEDS to connect to our inputs and outputs.<br />
<ul>
<li>Let’s set DIP switch 0 to be the Not gate input</li>
<li>Let’s set LED 0 to be the Not gate output</li>
<li>Let’s set DIP switch 1 to be the AND gate A input</li>
<li>Let’s set DIP switch 2 to be the AND gate B input</li>
<li>Let’s set LED 1 to be the AND gate Output</li>
<li>Let’s set DIP switch 3 to be the OR gate A input</li>
<li>Let’s set DIP switch 4 to be the OR gate B input</li>
<li>Let’s set LED 3 to be the OR gate Output</li>
<li>Let’s set DIP switch 5 to be the XOR gate A input</li>
<li>Let’s set DIP switch 6 to be the XOR gate B input</li>
<li>Let’s set LED 5 to be the XOR gate Output</li>
</ul>
It is possible to use the WebISE software to generate the constraints for us but I prefer to write my own code using the supplied constraints file from Numato Labs as a template.<br />
<br />
Here is the code:<br />
<br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++#</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;"># This <strong>file</strong> <strong>is</strong> a .ucf <strong>for</strong> Mimas V2 #</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;"># <strong>To</strong> <strong>use</strong> <strong>it</strong> <strong>in</strong> your project : #</span><br />
<span style="color: #38761d; font-size: xx-small;"><span style="font-family: Courier New, Courier, monospace;"># * Remove <strong>or</strong> comment the lines corresponding <strong>to</strong> unused pins <strong>in </strong></span><span style="font-family: "Courier New", Courier, monospace;">#</span><span style="font-family: Courier New, Courier, monospace;"><br /># the </span><span style="font-family: "Courier New", Courier, monospace;">project #</span></span><br />
<span style="color: #38761d; font-size: xx-small;"><span style="font-family: Courier New, Courier, monospace;"># * Rename the used signals according <strong>to</strong> the your project </span><span style="font-family: "Courier New", Courier, monospace;">#</span></span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++#</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><br /></span>
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;">CONFIG VCCAUX = "3.3" ;</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;">#NET "CLK" LOC = V10 | IOSTANDARD = LVCMOS33 | PERIOD = <strong>100</strong>MHz;</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;">#NET "RST_n" IOSTANDARD = LVCMOS33 | PULLUP;</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><br /></span>
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#################################################################################</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;"># DIP Switches #</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#################################################################################</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><br /></span>
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><span style="color: blue;">NET</span> "Not_input" <span style="color: blue;">LOC</span> = C17 | <span style="color: blue;">IOSTANDARD</span> = LVCMOS33 | <span style="color: blue;">DRIVE</span> = <strong>8</strong> | <span style="color: blue;">SLEW</span> = <span style="color: blue;">FAST </span>| <span style="color: blue;">PULLUP;</span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "And_A_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = C18 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST </span><span style="font-family: Courier New, Courier, monospace;">| <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "And_B_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = D17 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;"> | <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Or_A_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = D18 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;"> | <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Or_B_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = E18 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;"> | <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Xor_A_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = E16 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;"> | <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Xor_B_Input" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = F18 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: "Courier New", Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;"> | <span style="color: blue;">PULLUP;</span></span></span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><br /></span>
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#################################################################################</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;"># LEDs #</span><br />
<span style="color: #38761d; font-family: Courier New, Courier, monospace; font-size: xx-small;">#################################################################################</span><br />
<span style="font-family: Courier New, Courier, monospace; font-size: xx-small;"><br /></span>
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Not_Output_LED" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = P15 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: Courier New, Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;">;</span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "And_Q_Output_LED" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = N15 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: Courier New, Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;">;</span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Or_Q_Output_LED" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = U17 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: Courier New, Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;">;</span></span><br />
<span style="font-size: xx-small;"><span style="color: blue; font-family: "Courier New", Courier, monospace;">NET</span><span style="font-family: Courier New, Courier, monospace;"> "Xor_Q_Output_LED" </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">LOC</span><span style="font-family: Courier New, Courier, monospace;"> = T17 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">IOSTANDARD</span><span style="font-family: Courier New, Courier, monospace;"> = LVCMOS33 | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">DRIVE</span><span style="font-family: Courier New, Courier, monospace;"> = <strong>8</strong> | </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">SLEW</span><span style="font-family: Courier New, Courier, monospace;"> = </span><span style="color: blue; font-family: "Courier New", Courier, monospace;">FAST</span><span style="font-family: Courier New, Courier, monospace;">;</span></span><br />
<br />
Copy and paste the above code into the constraints file and then Save the file! It's now time to check everything is correct and implement everything before creating a bit stream file and upload it to the Mimas V2....<br />
<br />
Click on the green arrow in the process window to process all of the code. Once complete there should be green tick marks on each section:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://1.bp.blogspot.com/-QOv-57I8hpc/WbfZaxyhXnI/AAAAAAAAXsU/nkX_YGQ6WlwqEVsyTwyHU2O3ekOxCudAQCLcBGAs/s1600/image037.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="492" data-original-width="640" height="492" src="https://1.bp.blogspot.com/-QOv-57I8hpc/WbfZaxyhXnI/AAAAAAAAXsU/nkX_YGQ6WlwqEVsyTwyHU2O3ekOxCudAQCLcBGAs/s640/image037.png" width="640" /></a></div>
<br />
Next right click on Generate Programming File and Process Properties and select create Binary Configuration file:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://3.bp.blogspot.com/-kVQfT-NNKNw/WbfZbMw0OEI/AAAAAAAAXsc/WO9xuc_Ma784YvDnV0TEfWZiBo7q6yUsQCLcBGAs/s1600/image038.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="368" data-original-width="640" height="368" src="https://3.bp.blogspot.com/-kVQfT-NNKNw/WbfZbMw0OEI/AAAAAAAAXsc/WO9xuc_Ma784YvDnV0TEfWZiBo7q6yUsQCLcBGAs/s640/image038.png" width="640" /></a></div>
<br />
Click Ok and then Right click on Generate Programming file and select run:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-63wr10fdPBA/WbfZbet-UoI/AAAAAAAAXsg/Rx-4aMIGViAUFCxhLhO9RKDd1lOF58qJwCLcBGAs/s1600/image039.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="502" data-original-width="617" height="518" src="https://4.bp.blogspot.com/-63wr10fdPBA/WbfZbet-UoI/AAAAAAAAXsg/Rx-4aMIGViAUFCxhLhO9RKDd1lOF58qJwCLcBGAs/s640/image039.png" width="640" /></a></div>
<br />
Once that has completed - connect up the Mimas V2 development board to your computer and load up the Mimas V2 Config tool. Select the correct COM port and finally navigate to the recently created Bit Stream file called 'lots_of_gates_top_module.bin' and click Upload:<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://4.bp.blogspot.com/-gDvaCepNHec/WbfZbgttBwI/AAAAAAAAXso/fUS5lYkVeNkdJZqmAWDDD863yODTcOvawCLcBGAs/s1600/image041.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="640" data-original-width="630" height="640" src="https://4.bp.blogspot.com/-gDvaCepNHec/WbfZbgttBwI/AAAAAAAAXso/fUS5lYkVeNkdJZqmAWDDD863yODTcOvawCLcBGAs/s640/image041.jpg" width="630" /></a></div>
<br />
It may take a while...I hear that programming the Mimas V2 using a JTAG programmer is considerably faster! Once that has completed lets test it out!<br />
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
Remember that the Mimas V2 Logic levels are active low. So the LEDS will be off when a logic '1' is present at the output.<br />
<ul>
<li>If you manipulate DIP switch 8 - the D1 LED will change state</li>
<li>If you manipulate DIP switches 6 and 7 - the D3 LED will change state (AND function)</li>
<li>If you manipulate DIP switches 4 and 5 - the D5 LED will change state (OR function)</li>
<li>If you manipulate DIP switches 2 and 3 - the D7 LED will change state (XOR function)</li>
</ul>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://3.bp.blogspot.com/-w4E394881mo/WbfPmvzbGBI/AAAAAAAAXr0/iAjxpRDSD-0KOstE7lAghFOLN9hMFnoggCLcBGAs/s1600/image042.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="360" data-original-width="640" height="360" src="https://3.bp.blogspot.com/-w4E394881mo/WbfPmvzbGBI/AAAAAAAAXr0/iAjxpRDSD-0KOstE7lAghFOLN9hMFnoggCLcBGAs/s640/image042.jpg" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;">The project uploaded to the Mimas V2 and working!</td></tr>
</tbody></table>
<div class="separator" style="clear: both; text-align: left;">
Well that's about it for now...Apologies for the really long post. I couldn't really find a way to make it much shorter. If you want to be adventurous change the code to have multiple NOT gates, or AND gates etc....it only requires changing the instantiation sections with a single component declaration, you will need to map the pins though.</div>
<br />
If people need access to the project and files it's here:<br />
<a href="https://drive.google.com/open?id=0B7fA8ZgAyKMlaHo5Qms2YjhtNW8">https://drive.google.com/open?id=0B7fA8ZgAyKMlaHo5Qms2YjhtNW8</a><br />
<br />
That's all for now people - take care, Langster!<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
</div>
</div>
</div>
Alexander Langhttp://www.blogger.com/profile/16915817244646244095noreply@blogger.com2Manchester, UK53.4807593 -2.242630500000018453.329540300000005 -2.5653540000000183 53.6319783 -1.9199070000000185